自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(55)
  • 收藏
  • 关注

原创 word中公式对齐

目录1、打开标尺2、创建样式3、完成1、打开标尺2、创建样式3、完成(1)括号内插入题注(2)光标位置按TAB键后,如下所示;光标位置按TAB键后,如下所示;...

2021-09-27 14:36:38 730

原创 STM32F10X_485通讯

目录1、参考2、接收和发送引脚2.1、硬件相关2.2、软件相关3、控制485芯片接收或发送的引脚3.1、硬件相关3.2、软件相关4、串口配置4.1、配置USART25、主程序中6、实验现象1、参考用开发板做485实验;2、接收和发送引脚2.1、硬件相关PA2作为485的发送引脚;PA3作为485的接收引脚;2.2、软件相关 RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE)

2021-07-09 22:03:50 576 4

原创 WIN10下MATLAB2019A的安装

目录1、安装步骤2、破解2.1、安装完软件2.2、破解文件​2.3、桌面快速启动软件2.4、激活1、安装步骤选择不连接Internet,且关闭杀毒软件和网络;2、破解2.1、安装完软件安装完成时,不要打开软件;安装完毕后,桌面出现图标如下;(删除该图标)2.2、破解文件将netapi32.dll放到图中所示目录;将license_standalone.lic放到图中的目录;2.3、桌面快速启动软件2.4、激

2021-07-01 14:45:20 2383

原创 STM32F10X_ADC(基础设置)

目录1、参考文献2、ADC简介3、STM32中的ADC3.1、电压输入3.2、输入通道3.3、规则通道4、触发源5、转换时间5.1、ADC时钟5.2、采样时间6、DMA运用于ADC1、参考文献《STM32F10X-中文参考手册》;2、ADC简介全称:analog to digital concerter;称为模/数转换器;作用是:将连续变化的模拟信号转换为离散的数字信号;3、STM32中的ADC芯片型号不同,对应ADC的配置也不同;

2021-06-24 09:13:01 962 1

原创 STM32F10X_定时器(计时)

目录1.参考文献2.定时器分类3.时钟源4.计数器时钟5.计数器6.自动重装载寄存器7.定时时间的计算1.参考文献《STM32F10X-中文参考手册》;2.定时器分类STM32F1系列共有8个定时器(TIM),分为基本定时器(TIM6和TIM7),通用定时器(TIM2-5)和高级定时器(TIM1和TIM8);3.时钟源时钟的设置是基础,定时器时钟用TIMXCLK表示;在前面文章《STM32F10X_使用HSE配置系统时钟为72MHZ》中可知:TI.

2021-05-27 09:23:31 1945

原创 STM32F10X_系统定时器(systick)

1. 参考文献《Cortex-M3内核编程手册》;2. systick简介systick(系统定时器)是属于 CM3 内核中的一个外设(内核外设),内嵌在 NVIC(嵌套向量中断控制器)中,它和普通片上外设的中断优先级有区别,它没有抢占优先级和子优先级。在F103中,内核外设的中断优先级是通过SHPRX(x=1/2/3)来配置,systick优先级默认配置为15;NVIC控制着芯片中断相关的功能,是内核的一个外设;NVIC的中断优先级分组功能不仅对片上外设有效,也对内核...

2021-05-25 09:08:41 631 2

原创 STM32F10X_使用HSE配置系统时钟为72MHZ

目录1.参考文献2. RCC中的时钟设置2.1系统初始化函数2.2时钟系统时钟函数3.系统时钟3.1 HSE3.2 PLL时钟源3.3 PLL时钟3.4系统时钟3.5总线时钟3.6 PCLK23.7 PCLK11.参考文献《STM32F10X-中文参考手册》;2. RCC中的时钟设置RCC :reset clock control (复位和时钟控制器)2.1系统初始化函数SystemInit();其中有如下:...

2021-05-19 14:35:01 1312 3

原创 晶闸管相控交流调压的详细计算

1、单向交流调压电路在百度文库找的,如下图所示推导一下负载电压有效值:自由响应:与激励无关

2021-04-08 13:07:05 2181 1

原创 RLC无源网络线性元件的微分方程

来推导一下:

2021-04-06 10:00:09 13076 2

原创 stc15芯片型号和头文件的自动添加

1、下载stc-isp软件2、选择路径3、安装成功

2021-03-15 19:12:55 466

原创 DSP28035_CMD文件

1、简要介绍cmd专业名:链接器配置文件简称:命令文件cmd作用:分配ROM和RAM空间Memory伪指令作用:指定芯片的ROM和RAM的大小和划分出几个区间Page关键词作用:对独立的存储空间进行标记,一般分两页:page0 page1PAGE 0:对应ROMPAGE 1:对应RAM芯片型号不同各个存储器的起止地址不同,具体相关参考文档/***************************************************..

2021-03-06 09:43:00 593

原创 dsp28035_工程创建

1、新建设置参数如下:XD3 100V3是仿真器新建后,自动加入了一个28035_RAM_lnk.cmd,删掉targetconfigs文件夹的文件是用于与仿真器设置的2、完善文件src放.c文件inc放.h文件这些文件都可在官方提供的资料中找到,文件的名字如下:3、编译...

2021-02-20 14:27:32 900

原创 三相桥式全控整流理论知识

1、电路图在百度搜索了下图中1、3、5的阴极连接在一起成共阴极组,同理4、6、2是共阳极组。习惯上,导通顺序: 1 2 3 4 5 6 ,相位依次差60度,因此如图布置6个晶闸管2、工作原理在自然换相点换相(红色框内,作为第一个时段,第一个换相点也作为触发角的起点),ua电压开始大于ub、uc电压,此时是晶闸管1和6触发。三相交流电波形图:阴极所接电压最低的一个导通,阳极所接电压最大的一个导通。任何时刻,共阳极组提供一个晶闸管导通,共阴极组提供一...

2020-12-29 16:29:30 11067

原创 Verilog——呼吸灯

1、代码module led_breath(input wire clk,input wire rst,output reg [3:0] led);reg [5:0] cntus;reg [9:0] cntms;reg [9:0] cnts;reg flag;//48M//48次计数需要1微秒always@(posedge clk or negedge rst) if(rst==0) cntus<=0; else if(cntus==48-1) cntus&

2020-12-23 10:16:40 856

原创 Verilog——流水灯

1、代码module led_shift(input wire clk,input wire rst,output reg [3:0] led);reg [31:0] cnt;reg flag;//500ms定时always@(posedge clk or negedge rst) if(rst==0) cnt<=0; else if(cnt==48000*500-1) cnt<=0; else cnt<=cnt+1;//时间标志always@.

2020-12-21 16:18:15 873

原创 Verilog——LED闪烁

1、打开quartus软件,新建工程略2、编写Verilog程序module led_cnt(output reg DS_DP,//灯input wire clk,//时钟input wire rst//复位 连接着 KEY4);parameter SEC_TIME = 32'd48_000_000;//48Mreg [31:0]cnt;//计数always @ (posedge clk or negedge rst)begin if(rst==0)//初始化是高电

2020-12-19 14:42:08 6667 1

原创 参考国标2015电动汽车与BMS的协议实现双机CAN通讯

使用2块都带有STM32F1芯片的控制板,通过can通讯CRM报文由充电机主动发送给BMS,此处充电机作为主机,BMS作为从机BMS接收后,连续发送几个报文给充电机,这几个报文包含了多个信息,所以长度长达41字节,使用分包发送协议如上图所示,主机连续发送了4个报文主机只管接收,在中断函数中分析数据从机只管发送就算是通讯中断,也会更新数据主机得到的数据如下图...

2020-10-30 12:49:12 1378

原创 keil的debug中,查看数组值,并转出文本出图

1、打开keil软件进入debug打开view中的watch window和command window观察的数组:a[count]然后程序运行起来2、提取数据转成文件保存到电脑SAVE E:\文件名字 数组首地址,数组末地址3、使用EXCEL转成图形打开生成的文件如下然后就是解析,在论坛上下载了一个超棒的东东用ULtraEdit.exe打开生成的文件,如下将上面数据放入Excel中...

2020-09-30 13:08:53 3241 3

原创 基于STM32F103的软件I2C应用

1、eepromeeprom是一种掉电不丢失数据的器件,常用于上电加载初始化数据,最常用的通讯方式是I2C协议。如下图,芯片型号AT24C02(共存256个字节,每页8个字节),设备地址一共7位,高四位固定,后三位由A0 A1 A2如下图连接的电平决定。R/W是读写方向,与地址无关,即主设备读取时=1,主设备写时=0。wp是写保护,即可写入数据时=0,不可写入数据时=1。2、软件模拟配置两个IO口void i2c_CfgGpio(void){ GPIO_InitT.

2020-09-16 09:04:14 831

原创 谈谈电路中的电感

符号:L单位:亨 H储能元件,隔交流通直流,电流不会突变电流流过电感,磁场开始建立,慢慢稳定;当电流停止流动,磁场开始崩盘,磁能转为电能,直到消失。有电流流过电感,就会产生磁场。电感最简单形式,即一段导体,电流流过,导体周围就有磁场。此时的磁场是分散的,若将导线制成线圈,磁场的强度会随圈数比例增加,线圈内部的磁力线比较集中,但外部的磁力线就较远。现在把线圈外部的磁力线吸引到靠近线圈,则在线圈内部加一个铁芯。为什么隔交流通交流?从公式上,UL=L*(di/dt),对于直流电,在..

2020-09-05 16:36:48 918

原创 FPGA——边沿检测,捕捉STM32信号实现SPI通信

1、边沿检测代码为了实现STM32与FPGA的SPI通讯,需要边沿检测。经典的边沿检测代码,网上一搜一大把,借用一下正点原子的学习代码module touch_led (input sys_clk,input sys_rst_n,input touch_key,output reg led);reg touch_key_d0;reg touch_key_d1;wire touch_en;assign touch_en = (~touch_key_d1) & touch_k

2020-08-22 16:26:02 843

原创 FPGA_EP4CE6——quartus新建工程及Verilog编译且下载程序运行

1、建立工程打开quartus软件,file->new project wizard,主要的设置如下2、编写程序file->new,新建一个Verilog文件编写程序,程序如下,注意:module 后面的led,必须和工程名字一样module led( led, clk );input clk;output led;//输出端口定义reg [23:0] cnt;always @ (posedge clk)...

2020-08-18 16:35:24 2242

原创 win10下,quartusII 13.1(64bit)及USB blaster的安装

1、资料下载quartus软件下载:链接:https://pan.baidu.com/s/1oWI7Cq6uw2FaeTw_9-fG8g提取码:ihvo2、USB Blaster的安装连接主机的USB接口安装完quartus软件后,驱动文件可以在里面找到3、应用没有破解好,会出现以下问题破解第一步:破解时,关闭quartus软件需要破解文件的位置如下:破解第二步:...

2020-07-24 16:19:06 3803 1

原创 canfestival——从机发送PDO报文

1、PDO基本介绍PDO(过程数据对象)用来传输实时数据,PDO分两种,一种是TPDO(发送的),一种是RPDO(接收的)。触发模式:事件和定时器、远程请求、同步触发。如下图所示,TPDO1在OD中索引为1800,此处写通讯参数。TPDO 的通讯参数存放在 1800h to 19FFh ,映射参数存放在 1A00h to 1BFFh网上下载一本电子书:canopen轻松入门(周立功)2、PDO通讯参数Transmission_Type:传输类型Inhibi...

2020-07-18 15:30:58 6008 4

原创 canfestival——从机定时发送心跳报文

1、定时时间的设定/* index 0x1017 : Producer Heartbeat Time */ UNS16 masterObjdict_obj1017 = 0; /* 0 */subindex masterObjdict_Index1017[] = { { RW, uint16, sizeof (UNS16), (void*)&masterObjdict_obj1017, NULL }};masterObjdict_obj1017用来设置时间2、.

2020-07-16 09:00:19 3945

原创 基于STM32F103的74hc164的应用程序

1.74hc164简介(1)移位寄存器(2)1个数据输入端口(3)1个时钟信号端口(4)8个输出端口(5)当第一个时钟信号从低到高时,输出一个数X0至输出端口D0,第二次上升沿时,输出一个数X1至DO,而X0到达了端口D1,按这个规律依次进行下去2.参考程序void HC164(u8 Data) { u8 i; for(i=0;i<8;i++) { DISCLK(OFF); if(Data&0x80) { DISDATA

2020-06-18 09:08:23 2084 1

原创 基于STM32F103的keil5软件仿真——逻辑观察

1.keil5配置而后进入debug模式2.设置断点3.setup观察量添加的时输入格式:PORTX.X(PORTE.5)4.出图

2020-06-12 15:35:03 1455

原创 canfestival——对象字典生成工具的环境搭建

1canfestival个人维护的网站https://canfestival.org/index.html.en下载documentation中的manual_en.pdf2

2020-06-06 08:30:00 1461 1

原创 基于STM32F103的增量式PI算法

增量式PI的程序百度一搜由算法可以看出,主要是误差参与运算,控制量可以理解为误差的累计和消除过程,比如第一次调节有误差1,第二次调节有误差2,误差2的出现说明第一次调节没有调整到给定值,控制量在第二次会改变,这样继续调节下去,调整到给定值时候,理论上是0了。比例积分系数和控制量的关系比例可认为是快速到达给定值积分可认为是消除稳态误差一般的系统,PI就够用了基本思路1初始化给定值,或是外部给予2实时采样被控对象3采样值与外部给予比较,并进行算法处理,得到控制量4由控

2020-06-02 13:39:41 2754

原创 基于STM32F1的AD7682应用

下载中文版的7682参考资料,可以去如下网站ANALOG DEVICES使用软件模拟时序,首先是配置根据自己的需求配置好7682,而后用示波器观察,配置是否正确用一个通道,来验证7682是否正常工作此处用了内部基准2.5V。并将2.5V接至IN3,运行程序,由串口打印数据。由于是16位的AD,理论上应该返回65535...

2020-05-27 13:55:14 4194 5

原创 全桥LLCsimulink仿真

全桥结构谐振电路与负载利用谐振波形周期性过零的特性,实现软开关驱动触发时,DS电压已经达到0计算谐振参数输入输出电压,输出电流,输出功率是必备要素网上有很多分析法,这个公式挺好用漏感可加入lr中,论文中出现的等效图k=lm/lrk值不同,增益曲线不同...

2020-04-13 10:39:47 5363

原创 WIN10下,matlab2019与MinGW-W64

打开MATLAB,找到附加功能,添加mingw进入下载,注意我们安装的时候选对应的版本安装时候,看你网速快慢然后,设置环境变量,我的电脑--高级系统设置--环境变量--新建变量名:MW_MINGW64_LOC变量值:路径而后,打开MATLAB,在命令行窗口输入...

2020-01-07 11:21:20 3492 5

原创 STM32F4通用定时器的时钟来源

1 在启动文件startup_stm32f40_41xxx.s中Reset_Handler PROC EXPORT Reset_Handler [WEAK] IMPORT SystemInit IMPORT __main LDR R0, =Syst...

2019-10-14 14:51:58 2935

原创 正点原子——串口协议程序笔记

#define USART_REC_LEN 200 //定义最大接收字节数 200#define EN_USART1_RX 1 //使能(1)/禁止(0)串口1接收 extern u8 USART_RX_BUF[USART_REC_LEN]; //接收缓冲,最大USART_REC_LEN个字节.末字节为换行符 extern u16 USART_RX_STA; ...

2019-09-27 13:21:38 792

原创 Cortex-M4—— STM32F4xx 器件中可用外设的边界地址(GPIO)

stm32f4xx.h/** @addtogroup Peripheral_memory_map * @{ */#define FLASH_BASE ((uint32_t)0x08000000) /*!< FLASH(up to 1 MB) base address in the alias region ...

2019-09-03 10:39:06 881

原创 C#_如何创建DLL文件及其调用

在visual studio创建类1中写程序 public string set() { return "hello"; }编译后出现DLL文件/****************************************************************************...

2019-08-22 15:43:29 563

原创 C# menustrip+groupbox+listview

一、在工具箱找到menustrip直接在上面写内容,并且可以在属性的IMAGE中添加图片二、在工具箱找到groupbox三、在工具箱找到listview在父容器中停靠打开列集合编辑器在添加成员时ListViewItem add = new ListViewItem(); add.Text = "aa"; ...

2019-08-21 15:12:49 252

原创 C#_ComboBox

一、在工具箱中可找到ComboBox写以下代码comboBox1.Items.Add("1");comboBox1.Items.Add("2");可向ComboBox中添加二、如何使用SelectedIndex在打开窗体时,就显示你想要的数值呢首先this.comboBox1.Items.Add("1");然后 comboBox1.Selecte...

2019-08-21 14:17:41 222

原创 C#_backgroundworker

namespace worker{ public partial class Form1 : Form { private BackgroundWorker bgw = new BackgroundWorker(); public Form1() { InitializeComponent(); ...

2019-08-20 15:46:34 93

原创 基于STM32F103的wifi数据透传

ESP8266串口型WIFI,主要用于传开关量、温度等连线:VCC----3.3VRST----PE6低电平复位CH_PD ----PG7使能脚,高电平正常工作UTXD----USART2RXURXD----USART2TXGND----GND此次试验选STA模式的TCP Client透...

2019-08-14 08:24:14 4275 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除