自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(68)
  • 资源 (1)
  • 收藏
  • 关注

转载 【转】关于MSP430

from  http://hi.baidu.com/wxqms/blog/item/607eb4c371904340b319a89b.html  关于MSP4302011年03月22日 星期二 下午 01:07转载于:天之泽的日志 - 网易博客:http://sxqstudy.blog.163.com/blog/static/34

2011-06-03 14:11:00 1825 1

转载 【转】vC++中 char 与 unsigned char(BYTE)的具体区别

<br />http://zhongliyuan88.blog.163.com/blog/static/793788192008923113528787/<br /> vC++中 char 与 unsigned char(BYTE)的具体区别?<br />理论知识2008-10-23 11:35:28阅读2272评论1  字号:大中小 订阅<br /> <br />typedef unsigned char     BYTE;   windef.h文件中定义 <br />char 与 unsigned ch

2011-04-15 12:10:00 7111

转载 【转】VC++的窗口句柄和窗口ID

<br />http://blog.sina.com.cn/s/blog_4cd5d2bb0100nb5d.html<br /> <br />VC++的窗口句柄和窗口ID(2010-10-22 23:42:28)<br />句柄是窗口资源的标识,它标识资源在系统中所占用的内存块,应用程序通过窗口句柄对窗口进行操作。除了窗口句柄之外,任何一种资源都有它自己的句柄,比如光标句柄、位图句柄等。窗口ID是窗口在应用程序中的唯一标识,通过窗口ID可以获取窗口句柄。<br />---------------------

2011-04-12 14:50:00 4156 1

转载 【转】interrupt 和 using 在C51中断中的使用

<br />原文 http://blog.ednchina.com/l0p0c/50914/message.aspx<br /> <br />8051 系列 MCU 的基本结构包括:32 个 I/O 口(4 组8 bit 端口);两个16 位定时计数器;全双工串行通信;6 个中断源(2 个外部中断、2 个定时/计数器中断、1 个串口输入/输出中断),两级中断优先级;128 字节内置RAM;独立的 64K 字节可寻址数据和代码区。中断发生后,MCU 转到 5 个中断入口处之一,然后执行相应的中断服务 <br

2011-02-25 14:18:00 748

转载 【转】浅谈C51内存优化(data idata xdata)

<br />原文 http://blog.ednchina.com/sanmaoljh/192300/message.aspx<br /> <br /> <br />对 51 单片机内存的认识,很多人有误解,最常见的是以下两种<br /><br />① 超过变量128后必须使用compact模式编译<br />   实际的情况是只要内存占用量不超过 256.0 就可以用 small 模式编译<br />② 128以上的某些地址为特殊寄存器使用,不能给程序用<br />   与 PC 机不同,51 单片机不使

2011-02-24 10:10:00 2186

转载 【转】#pragma 预处理指令详解

<br />beibei 发表于 2006-12-5 15:33:00<br />本文来自:我爱研发网(52RD.com) - R&D大本营<br />详细出处:http://www.52rd.com/blog/Detail_RD.Blog_sunhuibo_5025.html<br /> <br /> <br />在所有的预处理指令中,#pragma 指令可能是最复杂的了,它的作用是设定编译器的状态或者是指示编译器完成一些特定的动作。<br />#pragma指令对每个编译器给出了一个方法,在保持与C和C

2011-02-16 15:00:00 648

转载 【转】cyclone与spartan开发对比

<br />http://blog.ednchina.com/liu_xf/1908054/message.aspx<br /> <br />有人问,倒底是Altera的FPGA好,还是 Xilinx的FPGA好,其实这个问题还真不好怎么回答,两家都是全球最大的FPGA供应商,而且用量都很广。本人用过cyclone和spartan系列的FPGA,现就开发工具及开发流程对这两家FPGA进行对比。<br /><br />一、           开发工具<br />Altera的开发工具有Quartus II

2011-02-11 14:45:00 2621

转载 【转】FPGA笔试数电部分

转自 http://yuqix.blog.51cto.com/979066/2148641:什么是同步逻辑和异步逻辑?(汉王)同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 答案应该与上面问题一致〔补充〕:同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入 x 有无变化,状态表中的每个状态都是稳定的。        异步时序逻辑电路的特点:电路中除可以

2011-01-19 16:43:00 1428

转载 【转】main( )

<br />原文:http://blog.sina.com.cn/s/blog_4bc75bff0100au61.html<br /> <br /> <br />C的设计原则是把函数作为程序的构成模块。main()函数称之为主函数,一个C程序总是从main()函数开始执行的。<br /><br />一、main()函数的形式<br />    在最新的 C99 标准中,只有以下两种定义方式是正确的:<br /><br />        int main( void )--无参数形式<br />      

2011-01-12 13:15:00 448

转载 【转】Linux学习笔记

<br />原帖http://ubuntu.group.javaeye.com/group/topic/11502<br /> <br />1、linux分区 <br />--在linux里面所有的设备、任何东西,在linux看来都是文件。 <br />--文件在它看来,有两种形式: <br />  第一种是字符型(键盘输入、打印机); <br />  第二种是二进制型(硬盘、光驱、U盘) <br />--linux中所有硬件 <br />--手动分区 <br />--A、至少有两个分区 <br />  /

2011-01-07 14:25:00 575

原创 我的第一个minigui程序

      第一次在Linux环境下编程,使用minigui做个界面显示位置和速度曲线。Windows下写过一些C程序,minigui学习了两个礼拜,研究了点Emacs,边写边学习。      在104系统上运行,与底层FPGA通信,将其采集到的数据读入并显示。FPGA此处不谈,记录下minigui,以helloworld为例。/**********************************************************************************/#includ

2011-01-06 17:10:00 6354

转载 【转】Emacs使用

Options -> Show/Hide -> Speedbar  =>Speedbar quick-navigation frame原帖http://dsec.pku.edu.cn/~jinlong/emacs/emacs.html#c Emacs 的基本操作Emacs 中的光标移动C-f 光标前进一个字符C-b 光标后退一个字符C-p 上移一行C-n 下移一行M-f 前进一个单词M-b 后退一个单词C-a 行首C

2011-01-06 10:24:00 994

转载 【转】minigui的窗口

<br />minigui中的Windows <br /> 图形编程中,窗口是一个重要的概念,窗口其实是一个矩形框,应用程序可以使用其从而达到输出结果和接受用户输入的效果。窗口系统(Window System)界于操作系统层次之上,它是一个软件系统,负责把显示屏幕分隔为不同的部分来帮助用户管理和控制不同的显示环境,它提供基于窗口的工作模式。在Linux上面,X就是一个典型的窗口系统吧。   在MiniGui中有三种窗口类型:主窗口,对话框和控件窗口。主窗口作为应用程序的主界面或开始界面。子窗口通常是控件窗口

2010-12-20 16:38:00 1149

转载 【转】ldconfig和LD_LIBRARY_PATH

<br />ldconfig通常在系统启动时运行,而当用户安装了一个新的动态链接库时,就需要手工运行这个命令.<br /><br />ldconfig命令行用法如下:<br />ldconfig [-v|--verbose] [-n] [-N] [-X] [-f CONF] [-C CACHE] [-r ROOT] [-l] [-p|--print-cache] [-c FORMAT] [--format=FORMAT] [-V] [-?|--help|--usage] path...<br /><br /

2010-12-20 16:02:00 603

转载 【转】Linux下链接动态库静态库的理解

Linux下链接动态库静态库的理解<br />[日期:2009-04-18]            来源:Linux社区  作者:dingjoey<br /> <br />Linux程序GCC编译基本过程<br />一个程式从原始码到可执行文件(或共享库文件),一般都要经过预处理、编译、汇编和链接这四个步骤。<br />编译过程扫描头文件的搜索路径顺序<br />gcc 在编译时如何去寻找所需要的头文件 :<br />※所以header file的搜寻会从-I开始<br />※然后找gcc的环境变量 C_I

2010-12-20 14:13:00 649

转载 【转】struct tm 和 time_t 时间和日期的使用方法

<br />关键字:UTC(世界标准时间),Calendar Time(日历时间),epoch(时间点),clock tick(时钟计时单元)<br /><br /><br />1.概念<br />在C/C++中,对字符串的操作有很多值得注意的问题,同样,C/C++对时间的操作也有许多值得大家注意的地方。下面主要介绍在C/C++中时间和日期的使用方法.<br /><br />通过学习许多C/C++库,你可以有很多操作、使用时间的方法。但在这之前你需要了解一些“时间”和“日期”的概念,主要有以下几个:<br

2010-12-13 14:44:00 621

原创 C

C

2010-12-01 12:32:00 447

转载 【转】Linux终端命令用法精选(分类,便于查看)

typewins发布于 2007-4-25 一.文件目录类1.建立目录:mkdir 目录名2.删除空目录:rmdir 目录名3.无条件删除子目录: rm -rf 目录名4.改变当前目录:cd 目录名 (进入用户home目录:cd ~;进入上一级目录:cd -)5.查看自己所在目录:pwd6.查看当前目录大小:du7.显示目录文件列表:ls -l (-a:增加显示隐含目录)其中:蓝:目录;绿:可执行文件;红:压缩文件;浅蓝:链接文件;灰:其他文件;红底白字:错误的链接文件8.浏览文件:more 文件名.txt

2010-12-01 10:06:00 521

转载 【转】ubuntu中Vmware Tools安装方法

1、一定要先安装 build-essential 软件,否则不能够顺利安装 命令:sudo apt-get install build-essential 2、要安装linux-headers 包,这个包要在配置VMware-tools之前装,即可以在安装VMware-tools前装,或者在成功安装了VMware-tools之后配置之前装,否则会提示找不到 C header files,要求你指定路径。可是这个linux-headers包要和你下载的ubuntu版本匹配,可以用命令:uname -a 来查看

2010-11-29 10:21:00 2595

转载 【转】常用电平标准

<br />现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的LVDS、GTL、PGTL、CML、HSTL、SSTL等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。<br />  TTL:Transistor-Transistor Logic 三极管结构。<br />  Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;VIL<=0.8V。<br />  因为2.4V与5V之间还有很

2010-07-26 09:15:00 887

转载 modelsim常用命令

用do文件进行仿真真得很方便,比写testbench方便多了,采用do文件没有那么多信号定义,管理也比较方便. 1.运行仿真,在主窗口输入命令:vsim work.实体名2.为时钟信号添加驱动,输入命令:force clk 0 0,1 10 -r 20,将仿真时钟设为50MHz;(设时间单位为ns)3.打开波形窗口,输入命令:view wave4.为波形窗口添加信号,输入命令:

2010-05-26 10:49:00 11713 1

原创 Verilog/FPGA 小小总结

1、ERROR:HDLCompilers:246 - "*.v" line * Reference to vector reg * is not a legal net lvalue     ERROR:HDLCompilers:53 - "*.v" line * Illegal left hand side of continuous assign     错误原因:assign reg

2010-05-17 14:52:00 8420

转载 【转】LVTTL与LVCMOS区别

LVTTL与LVCMOS区别TTL电平的VIH/VIL一般是2V/0.8V,VOH/VOL一般是 2.4V/0.4V,不论是3.3V还是5V的TTL都一样的;CMOS的VIH/VIL一般是70%VCC/30%VCC,VOH/VOL一般是80% VCC/20%VCC,所以不同的电平不能互推!另外CMOS的速度比较快,一般的高速器件采用!常见逻辑电平标准现在常用的电平标准有TTL、CMOS、L

2010-04-02 12:19:00 10225

转载 【转】FPGA器件配置电平和接口标准

1.配置电平和接口标准   Virtex-4、Virtex-5和Spartan-3系列器件增加和修改了一些与配置有关的专用引脚,这些专用引脚如下。  (1)VCCAUX辅助电源:在Virtex-4、Virtex-5、Spartan-3及Spartan-3E器件中,Vccaux为2.5 V;在Spartan-3A及Spartan-3ADSP中,Vccaux可以是2.5 V或是3.3 V;在S

2010-04-02 11:50:00 3505

转载 【转】PCB设计技巧百问

PCB设计技巧百问(转贴)1、如何选择PCB板材?选择PCB板材必须在满足设计需求和可量产性及成本中间取得平衡点。设计需求包含电气和机构这两部分。通常在设计非常高速的PCB板子(大于GHz的频率)时这材质问题会比较重要。例如,现在常用的FR-4材质,在几个GHz的频率时的介质损(dielectric loss)会对信号衰减有很大的影响,可能就不合用。就电气而言,要注意介电常数(dielec

2010-03-26 09:04:00 1387

转载 【转】protel常用器件中英文

b          工具条选择eea      取消所有选择状态ctrl+del 删除pw 画导线pb      画总线 pu画总线分支线pn 设置网络标号 原理图常用库文件:Miscellaneous Devices.ddbDallas Microprocessor.ddbIntel Databooks.ddbProtel DOS Schematic Libraries.ddbPCB元

2010-03-26 08:59:00 1218

转载 【转】Spartan-3 DCM使用详解

 DCM使用详解本文翻译自Using Digital Clock Managers (DCMs) in Spartan-3 FPGAs  DCM主要功能1. 分频倍频:DCM可以将输入时钟进行multiply或者divide,从而得到新的输出时钟。2. 去skew:DCM还可以消除clock的skew,所谓skew就是由于传输引起的同一时钟到达不同地点的延迟差。3. 相移:

2010-03-25 12:54:00 4063

转载 【转】FPGA优化

关于FPGA设计的电路复用问题       首先:整个verilog中是以module为编写基本单元的,module不宜过大,目标是实现一些基本功能即可,module的层次不宜太深,一般3-5层即可,给module划分层次原则:实现最基本功能的为底层module,然后中层是调用这些基本module,实现大的功能,最高层是系统级模块,统筹各大块之间端口连接,时序关系等。   其次:是函数

2010-03-18 08:07:00 2042

转载 [转]verilog综合小结

一:基本Verilog中的变量有线网类型和寄存器类型。线网型变量综合成wire,而寄存器可能综合成WIRE,锁存器和触发器。二:verilog语句结构到门级的映射连续性赋值:assign连续性赋值语句逻辑结构上就是将等式右边的驱动左边的结点。因些连续性赋值的目标结点总是综合成由组合逻辑驱动的结点。Assign语句中的延时综合时都将忽视。 过程性赋值:过程性赋值只出现在always语

2010-03-12 10:50:00 3984

转载 [转]堆和栈的区别

堆和栈的区别一、预备知识—程序的内存分配一个由c/C++编译的程序占用的内存分为以下几个部分1、栈区(stack)— 由编译器自动分配释放 ,存放函数的参数值,局部变量的值等。其操作方式类似于数据结构中的栈。2、堆区(heap) — 一般由程序员分配释放, 若程序员不释放,程序结束时可能由OS回收 。注意它与数据结构中的堆是两回事,分配方式倒是类似于链表,呵呵。3、全局区(静态区)(static)

2010-03-11 09:14:00 516

转载 [转][华为软件编程规范和范例

 〔一〕=====[排版] ]=======. 〔二〕======[注释]=======. 〔三〕=====[标识符命名]=======. 〔四〕=====[可读性]======. 〔五〕=====[变量、结构]=====. 〔六〕=====[函数、过程]=====. 〔七〕=====[可测性]=====. 〔八〕=====[程序效率]=====. 〔九〕=====[质

2010-03-11 08:47:00 809

转载 [转]任意分频的verilog语言实现

现来说说分频原理吧,原理通了,什么都好办了。 1. 偶数倍(2N)分频 使用一模N计数器模块即可实现,即每当模N计数器上升沿从0开始计数至N时,输出时钟进行翻转,同时给计数器一复位信号使之从0开始重新计数,以此循环即可。偶数倍分频原理示意图见图1。2. 奇数倍(2N+1)分频 (1)占空比为X/(2N+1)或(2N+1-X)/(2N+1)分频,用模(2N+1)计数器模块可以实现。取

2010-02-24 12:33:00 1114

转载 [转]FPGA实现信号延时的方法

FPGA实现信号延时的方法汇总:1、门延时数量级的延时(几个ns),可用逻辑门来完成,但告诉综合器不要将其优化掉(不精确,误差大,常常不被推荐)。比如用两个非门(用constraint 来告诉synthesizer 不要综合掉这些逻辑)。2、使用delay cell,lcell。3、采用更快的时钟,通过计数器来实现,对于比较小的延时,用两个DFF 级联就可以。4、用fifo 或ram 实现。5

2010-02-05 09:11:00 16235

转载 标题:韩寒经典语录

1.我到现在都一直在庆幸自己没去上大学,而且我觉得高考是一定要改革的。我将继续不遗余力的说高考和大学的坏话。我很早前就说过,现如今的大学像妓 女一样,只要有钱,全国所有大学都乖乖排成一排随便你点,想上哪个上哪个,愿意多花点钱甚至可以几个一起上。  2.作文的看法是,作文就是很傻的东西。高考作文肯定是集所有大傻于一身的。我们的作文讲究的是培养狗奴才,而不是真性情。  3.世界上根本就不存在早

2010-02-04 12:10:00 853

转载 testbench

1. 激励的产生对于testbench而言,端口应当和被测试的module一一对应。端口分为input,output和inout类型产生激励信号的时候,input对应的端口应当申明为reg,   output对应的端口申明为wire,inout端口比较特殊,下面专门讲解。1)直接赋值。一般用initial块给信号赋初值,initial块执行一次,always或者forever表示由事件激发反复执行

2010-02-04 11:53:00 5887

转载 FPGA设计中若干关键问题

随着FPGA(Field Programmable Gate Array)容量、功能以及可靠性的提高,其在现代数字通信系统中的应用日渐广泛。采用FPGA设计数字电路已经成为数字电路系统领域的主要设计方式之一。在信号的处理和整个系统的控制中,FPGA不但能大大缩减电路的体积,提高电路的稳定性,而且其先进的开发工具使整个系统的设计调试周期大大缩短。本文结合作者的经验和体会,指出FPGA设计中的一些难点

2010-02-04 10:00:00 1653

转载 竞争和冒险

竞争: 在组合逻辑电路中,某个输入变量通过两条或两条以上的途径传到输出端,由于每条途径延迟时间不同,到达输出门的时间就有先有后,这种现象称为竞争。把不会产生错误输出的竞争的现象称为非临界竞争。把产生暂时性的或永久性错误输出的竞争现象称为临界竞争。冒险:是指数字电路中某个瞬间出现了非预期信号的现象。“1”冒险是由一个变量的原变量和反变量同时加到与门输入端造成的。“0”冒险是由一个变量的原变量和反

2010-02-04 08:50:00 906

转载 数字电路若干问题

1、什么是同步逻辑和异步逻辑,同步电路和异步电路的区别是什么?同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。电路设计可分类为同步电路和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。由于异步电路具有下列优点--无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和

2010-02-04 08:29:00 1303

转载 HDL的四种建模方式

在FPGA设计中,有四种HDL的建模方式,主要有结构化描述方式、数据流描述方式、行为描述方式和混合设计描述:结构化描述方式:结构化的建模方式就是通过对电路结构的描述来建模,即通过对器件的调用(HDL概念为例化),并使用线网来连接各器件的描述方式。这里的器件包括Verilog HDL的内置门器件如与门and,异或门xor等,也可以是用户的一个设计。结构化的描述方式反映了一个设计的层次关系

2010-02-04 08:14:00 2296

转载 [转]漏电流

一、半导体元件漏电流  PN结在截止时流过的很微小的电流。 二、电源漏电流  开关电源中为了减少干扰,按照国标,必须设有EMI滤波器电路。由于EMI电路的关系,使得在开关电源在接上市电后对地有一个微小的电流,这就是漏电流。如果不接地,计算机的外壳会对地带有110伏电压,用手摸会有麻的感觉,同时对计算机工作也会造成影响。 三、电容漏电流  电容介质不可能绝对不导电,当电容加上直流

2010-02-03 12:06:00 1831

C#程序开发范例宝典

C#程序开发范例宝典.pdf 暂时还没有目录

2013-12-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除