自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(174)
  • 资源 (10)
  • 问答 (1)
  • 收藏
  • 关注

转载 基于Xilinx FPGA的AXI Direct Memory Access (Scatter Gather Engine模式) 行为分析及软件操作流程

基于Xilinx FPGA的AXI Direct Memory Access (Scatter Gather Engine模式) 行为分析及软件操作流程

2022-10-13 15:30:26 2015 1

转载 10G/25G Ethernet Subsystem(二)(外回环)

10G/25G Ethernet Subsystem(二)(外回环)

2022-09-12 10:46:39 1296 1

转载 10G/25G Ethernet Subsystem(一)(内回环)

10G/25G Ethernet Subsystem(一)(内回环)

2022-09-12 10:41:34 1172 2

转载 从 IP 开始,学习数字逻辑:DataMover 进阶篇(二)

学习数字逻辑:DataMover 进阶篇(二)

2022-09-12 09:57:21 1438

转载 从 IP 开始,学习数字逻辑:DataMover 进阶篇

学习数字逻辑:DataMover 进阶篇

2022-09-12 09:55:11 1453

转载 从 IP 开始,学习数字逻辑:DataMover 基础篇

学习数字逻辑:DataMover 基础篇

2022-09-12 09:53:15 703

转载 Xilinx MicroBlaze软核驱动DDR4

Microblaze历程

2022-09-07 14:20:17 1331 1

原创 GTH insertion loss at nyquist设置

GTH 插入损耗设置

2022-08-31 10:57:51 403

原创 DDR4引脚约束

DDR4参考例程更改引脚约束

2022-08-29 13:59:27 1109

原创 安装新版Vivado导致老版本Vivado无法识别JTAG下载器

安装新版Vivado导致老版本Vivado无法识别JTAG下载器

2022-07-13 13:35:20 2415

转载 u-center软件配置Ublox NEO-M8T详细教程

版权声明:本文为CSDN博主「Bob_0917」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/qq_34689354/article/details/1153757441.使用u-center软件时请先检查驱动是否安装完成(如CH340/PL2303),后连接数据线等。2.打开u-center软件,在左上角选择正确的串口及波特率,一般NE0-M8默认波特率为115200.3.配置M8模块的功能,如选择北斗

2021-12-05 15:48:44 1657

转载 DDR4读写测试(二):基本读写测试

上次基本讲了怎么配置MIG的IP,这次继续翻译手册PG150,根据其提供用户端的app接口的读写模式,针对每种模式进行最基本的读写测试。MIG核用户app接口信号定义写RTL前需要了解些什么?还需了解什么?知道个app接口定义不就好了????但似乎在(一):MIG IP核配置中并未提地址的事情,所以本文再探讨下地址的问题。我们知道,一个RAM中一个地址对应存储一个数据。但是问题来了,在KCU116评估板上有两颗DDR4的颗粒,都是256Mb*16的,也就是总容量为256Mb*16*2=

2021-10-15 16:27:24 5908 6

转载 基于ZYNQ的可靠镜像升级及Multiboot方案实现

版权声明:本文为CSDN博主「MmikerR」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/MmikerR/article/details/106746533相关资料:https://blog.csdn.net/weixin_41922484/article/details/104037513https://blog.csdn.net/weixin_43189165/article/details/977

2021-10-13 14:36:57 2292 2

转载 详解FPGA实现8b10b编码原理(含VHDL及verilog源码)

高速串行总线通常会使用AC耦合电容,而通过编码技术使得DC平衡的原理可以从电容“隔直流、通交流”的角度理解。 如下图所示,DC平衡时,位流中的1和0交替出现,可认为是交流信号,可以顺利的通过电容;DC不平衡时,位流中出现多个连续的1或者0,可认为该时间段内的信号是直流,通过电容时会因为电压位阶的关系导致传输后的编码错误。高速串行总线采用编码技术的目的是平衡位流中的1和0,从而达到DC平衡。大多数串行电路都是ac coupling,就是会在tx端有串电容。电容是隔直通交的,如果不做dc balance,会把直

2021-09-29 15:08:22 1896 1

转载 41156 - Spartan-6 - How to generate SPIx4 mode flash file for MultiBoot Safe update with Fallback en

TITLE41156 - Spartan-6 - How to generate SPIx4 mode flash file for MultiBoot Safe update with Fallback enable?DESCRIPTIONHow do I generate SPIx4 mode flash files (MCS) for MultiBoot Safe update with Fallback Enable?SOLUTIONTo generate MultiBoot S

2021-09-28 13:39:20 317

转载 FPGA抗辐射加固方法

版权声明:本文为CSDN博主「FA@TE」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/qq_37960317/article/details/109206213FPGA抗辐射加固方法1.刷新芯片2.FPGA三模工具3.Microsemi FPGA三模4.Xilinx FPGA三模1.刷新芯片一般三模冗余处理和配置刷新芯片同时使用,以此来提高FPGA芯片在空间环境下抗辐射和稳定工作的能力。具体可以参考

2021-09-13 15:48:00 905

转载 Xilinx FPGA 的快速启动

原文:http://xilinx.eetrend.com/d6-xilinx/article/2013-08/4506.html在众多当代应用中,嵌入式系统必须满足极其苛刻的时序要求。其中之一就是启动时间——即上电后电子系统进入可操作状态所需要的时间。PCI Express®产品或汽车应用中基于CAN的电子控制单元(ECU)就是具有严格时序要求的电子系统的应用实例。标准PCI Express®(PCIe)系统上电后仅100毫秒,系统的根组件就开始扫描总...

2021-09-06 09:57:15 1730

转载 FPGA与LVDS信号兼容性分析方法

版权声明:本文为CSDN博主「techexchangeischeap」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/techexchangeischeap/article/details/72566147 很多工程师在使用Xilinx开发板时都注意到了一个问题,就是开发板中将LVDS的时钟输入(1.8V电平)连接到了VCCO=2.5V或者3.3V的Bank上,于是产生了关于FPGA引脚与LVDS(以及...

2021-09-02 14:23:06 1388

转载 基于FPGA的PCIe设备如何才能满足PCIe设备的启动时间的要求?

根据PCIe的协议,当设备启动后,PCIe设备必须满足启动时间的要求,即上电后100ms内,完成PCIe设备的初始化。如果不能满足PCIe设备启动时间的要求,则lspci可能无法检测到基于FPGA的PCIe设备,需要reboot服务器。众所周知,FPGA芯片规模越来越大,那么如何做才能满足PCIe设备的启动时间的要求呢?7系列FPGA常见的配置模式如下图所示:SelectMAP和Master/SlaveSerial是Xilinx早期的FPGA两类配置模式,SPI配置模式为SPI接口允许FPG..

2021-08-17 17:15:30 2083

转载 xilinx fpga 启动速度加快

版权声明:本文为CSDN博主「羽霍飞」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/qq_30320423/article/details/103707249加快xilinx fpga的启动速度的方式,只需要软件设置就可以了在vivado 下软件设置如下1:单击如下图红框位置open synthesized design2:在tools菜单下单击edit device properties,如果没

2021-08-17 16:55:37 1035 1

转载 FPGA SPI加载时间计算

————————————————版权声明:本文为CSDN博主「天下无敌小霸王」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/weixin_42564775/article/details/85028946FPGA SPI加载时间计算1、下图描述了1X或2X数据宽度的连接方式,2X模式下,master-out-slave-in (MOSI) 引脚为双向IO,同时作为数据引脚。2、下图描述了4X数据宽度的

2021-08-17 16:50:15 1495

转载 PCIe扫盲系列博文连载目录篇(第一阶段)

转载于:http://blog.chinaaet.com/justlxy/p/5100053251本文为PCIe扫盲系列博文连载目录篇(第一阶段),所谓第一阶段就是说后面还有第二阶段和第三阶段……第一阶段主要是介绍PCIe总线的发展历史与展望,PCI总线和PCI-X总线的简要回顾,PCIe总线的体系结构入门,PCIe总线的事务层、数据链路层,物理层入门;最后以一个简单的例子进行总结与回顾。目录如下:1、前言篇:PCIe扫盲——PCIe简介:http://blog.chinaaet.com/j

2021-08-02 14:51:42 234

转载 四种常用的100G QSFP28光模块的详细介绍

100G有多种封装形式,包括CFP/CFP2/CFP4,CXP和QSFP28。在这些不同的100G封装形式中,QSFP28光模块因其具有端口密度高、功耗低和成本低等优势,所以成为了100G网络的主要封装方式。因此,我们今天将重点介绍四种类型的100G QSFP28光模块。1.100GBASE-SR4 QSFP28光模块100GBASE-SR4 QSFP28光模块是并行100G光模块。QSFP28全双工光模块提供4个独立的发送和接收通道,每个功能可以在OM4 MMF的100米处进行25Gbps的运行,总体

2021-08-02 11:17:32 10600

转载 CAM350 导出SMT坐标文件

本文转至:https://wenku.baidu.com/view/80755285d4d8d15abe234e79.html

2020-09-29 11:20:53 2104

转载 通用Windows驱动程序设计原则DCHU

通用Windows驱动程序使开发人员能够创建一个运行在多种不同设备类型上的驱动程序包,从嵌入式系统到平板电脑和台式机。通用Windows驱动程序包包含INF文件和二进制文件,这些文件和二进制文件可在基于Windows 10的通用Windows平台(UWP)版本以及共享一组通用接口的其他Windows 10版本上安装和运行。驱动程序二进制文件可以使用KMDF、UMDF 2或Windows驱动程序模型(WDM)。通用驱动程序由以下部分组成:基本驱动程序,可选组件包和可选的硬件支持应用程序。基本驱动程

2020-09-23 09:29:02 721

转载 INF DDInstall.Services 节

每个模型DDInstall。Services节包含一个或多个inf AddService 指令,这些指令引用 inf 文件中其他由 inf 编写器定义的部分。[install-section-name.Services] |[install-section-name.nt.Services] |[install-section-name.ntx86.Services] |[install-section-name.ntarm.Services] | (Windows 8 and later ve

2020-09-23 09:05:25 297

转载 VS清理编译垃圾

VC6@echo offecho 清除所有obj pch idb pdb ncb opt plg res sbr ilk suo文件,请稍等......pausedel /f /s /q .\*.objdel /f /s /q .\*.pchdel /f /s /q .\*.idbdel /f /s /q .\*.pdbdel /f /s /q .\*.ncb del /f /s /q .\*.opt del /f /s /q .\*.plgdel /f /s /q .\*.res

2020-09-17 15:00:15 407

转载 FPGA DDR读写时序分析

FPGA DDR读写时序分析使用Vivado中带的DDR的IP核可以方便进行DDR的读写,用户直接操控用户逻辑接口的信号,使信号满足时序逻辑即可。具体时序逻辑请参照官方文档ug586_7Series_MIS.Pdf下载链接:https://china.xilinx.com/support/documentation/ip_documentation/mig_7series/v4_2/ug586_7Series_MIS.pdf借鉴文章链接:基于Xilinx MIS IP的DDR3读写User

2020-08-24 14:16:42 3460 1

原创 FPGA 读写测试DDR3

多年前发的老帖子,最近用到DDR3,翻出来还能用,保存一下。最近简单调了一下KC705开发板上面的DDR3,型号是MT8JTF12864HZ-1G6;有时候加载程序后,发现读出数据不是写进去的,在这将我的操作思路说下,有弄过的说说哪块有问题:1.ip核的sys_clk_i给400M时钟,clk_ref_i给200M时钟;app_wdf_mask全为0;app_sr_req,app_ref_req,app_zq_req接pull_down;2.等初始化完成后(即init_calib_complet.

2020-08-21 16:10:19 2018 1

转载 FPGA数字信号处理(六)直接型IIR滤波器Verilog设计

版权声明:本文为CSDN博主「FPGADesigner」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/FPGADesigner/article/details/80652480该篇是FPGA数字信号处理的第六篇,2-5篇介绍了DSP系统中极其常用的FIR滤波器。本文将简单介绍另一种数字滤波器——IIR滤波器的原理,详细介绍使用Verilog HDL设计直接型IIR滤波器的方法,下一篇会介绍如何用Verilog H

2020-07-09 09:58:43 3397 1

转载 Libero逻辑分析仪的使用(基本篇)

版权声明:本文为CSDN博主「苦岸」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/qq_32377801/article/details/1000446211、使用逻辑分析仪前应先综合工程:右击Synthesize点击Open Interactively2、右击spi debug,选择Identify Instrumentor3、选择信号(信号设置完成后记得保存,点击左上角Save All)

2020-07-02 10:01:04 2944

转载 FPGA工作速度随温度和电压的变化探讨

版权声明:本文为CSDN博主「kuangxin_0」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/u010161493/article/details/80869589本来是面试题问道的,当时想没怎么想,根据常识答了个电压越高速度越快,温度越低速度越快。心里想着不是CPU高电压版的主频都更快嘛。然后还有更快的频率要用液氮去冷却。后来到深圳实习,有机会接触到Xilinx的FAE,就问了他。他说的完全颠覆了我.

2020-07-02 09:27:26 1384 1

转载 xilinx生成mcs,下载flash问题解决方法

大多数fpga初学者,在下载flash的时候,往往不加bitstream约束,无法下载flash,可能有的不需要加,就可以下载,这里给大家简单介绍一下SPI和BPI flash的约束,以下作为设计参考,不同的板子不同的约束。1.SPI flash约束这里spi flash约束是针对博兰锐思主板M7的N25Q128A13BSF40E SPI flash进行的约束set_property CFGBVS VCCO [current_design]set_property CONFIG_VOLTAGE 3

2020-06-29 14:10:22 819

转载 win10如何使用WinSAT测试体验指数

WinSAT(Windows System Assessment Tool——Windows系统评估工具)是自从Vista系统开始引用的,win7是可以在系统属性中显示体验指数的,但win10没有了性能信息和工具,虽然这样,win10保留了体验指数计算的核心部分——WinSAT,我们可以利用WinSAT进行体验指数计算,下面介绍如何计算windows体验指数!工具/原料 电脑 方法/步骤 1 右击开始 点击windows powershall(管理员) 2 输

2020-06-16 15:17:26 847

转载 互相关函数求相位差

利用互相关函数的两同频正弦信号的互相关函数零时刻值与其相位差的正弦值成正比的原理获得相位差。

2020-06-11 11:15:29 4211 1

转载 编译错误,如何解决error LNK2001: unresolved external symbol

导致“errorLNK2001: unresolved external symbol”的原因为getStats此方法的链接库来没有找到。很可能是工程中引用了第三方开源库或者自己编译生成的库,且只用了头文件,而没有将对应的lib文件路径加入到工程中。具体解决方源法为(此方法针对vs系列集成开发环境):1.如果还未引入头文件,那么可以右键点击2113项目,然后点击“属性”。2.点击“C/C++”,选择5261“常规”,然后在右侧的“附加包含目录”选择你的第三4102方库(或者自己编译生成库).

2020-06-02 08:55:35 15180

转载 c++函数如何返回多个参数

1、使用结构#include <iostream>using namespace std; struct Result{ int add; int sub;}; Result operation(int a,int b){ Result ret; ret.add=a+b; ret.sub=a-b; return ret;} int main(){ Result res; res=operationn(5

2020-06-02 08:51:57 423

转载 转载 PCIe学习(三):PCIe DMA关键模块分析之二

版权声明:本文为CSDN博主「CLGo」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/cllovexyh/article/details/79855813简介这是学习PCIe DMA传输的第二篇博客,在前一篇中叙述了PCIe DMA传输的部分基础知识,并且较为详细的分析了接收引擎的各个状态,这...

2020-05-07 09:46:11 832

转载 转载 PCIe学习(二):PCIe DMA关键模块分析之一

版权声明:本文为CSDN博主「CLGo」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/cllovexyh/article/details/79835111简介经过一段时间的学习,这里将PCIe DMA模式的学习结果做一个总结,由于手里没有包含PCIe的板子,因此和学习PIO一样对DMA模式中的...

2020-05-07 09:25:02 896

转载 Vivado生成BIN/MCS文件

版权声明:本文为CSDN博主「黑客三遍猪」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/Zhu_Zhu_2009/article/details/103010567参考ug908 ug835AR# 44635 7 Series - EMCCLK considerations to ensure th...

2020-04-29 08:47:31 4396

PEX8311AA_Data_Book_V1.0Dec2009.pdf

非常全面的讲述PEX8311的资料,从总体描述到功能介绍,硬件,寄存器,驱动等等,共588页;不是几页那个数据手册。

2020-01-18

itu-r-sm2125英文

itu-r-sm.2125英文原版,高频/甚高频/特高频监测接收机 和电台的参数和测量程序

2015-05-05

ITU-R-SM.2125

R-REP-SM.2125-1-2011-PDF-C中文版,高频/甚高频/特高频监测接收机 和电台的参数和测量程序

2015-05-05

ug476用户手册

ug476_7Series_Transceivers用户手册

2015-04-30

lmx2541数据手册

lmx2541是TI的一款锁相环,支持很宽的频率输出范围

2015-04-30

ad9680开发板原理图

ad9680是一款双路1G采样率,单路2G采样率的高速ADC,接口为JESD204B

2015-04-30

ad9361数据手册

集成12位DAC和ADC的RF 2×2收发器 频段:70 MHz至6.0 GHz 支持TDD和FDD 可调谐通道带宽:<200 kHz至56 MHz 双通道接收器:6路差分或12路单端输入

2015-04-29

kc705原理图

kc705开发板原理图,很好的资料,完全可以自己画板了

2014-09-09

ADC12D1800RF开发板原理图

TI官方ADC12D1800RF开发板原理图

2014-09-09

adc12d1800rf

12位单通道可达1.8G,双通道可达3.6G的高速ADC

2014-09-09

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除