自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(11)
  • 收藏
  • 关注

原创 2021-09-11

货币换算一、题目描述系统需要提供人民币(CNY)、美元(USD)、英镑(GBP)、港币(HKD)价值转换功能。为简单处理,可以参考如下:1 CNY = 2 HKD1 USD = 8 CNY1 GBP = 2 USDMAX:currency(1200,CNY)= 75 GBPcurrency(127,HKD)= 3 GBP 1 USD 7 CNY 1 HKDMINcurrency(20,CNY)= 40 HKD二、C++代码分析输入非法检查字符串表示方式MAX和MIN处理逻辑

2021-09-11 13:36:17 204

原创 联通pt952g 光猫管理员密码获取

联通pt952g 光猫管理员密码获取pt952g管理员密码获取:1、浏览器输入192.168.1.1登陆普通账户2、将地址改为192.168.1.1/romfile.cfg,回车3、下载一个romfile.cfg的配置文件4、将配置文件romfile.cfg扩展名改为txt,打开5、搜索 CUAdmin 进行定位,在web_password之后的就是管理员密码List item...

2020-01-11 16:37:44 18473 4

原创 解决ramdisk卸载后重新安装设备管理器中无法找到ramdisk设备问题

ramdisk卸载重新安装出现设备无法显示,多数情况是因为没有卸载干净。ramdisk安装目录在c:\windows\system32目录下名字开头为ram**的文件为ramdisk的安装文件删除这些文件后重新安装就可以在设备管理器中重新显示。...

2019-08-02 10:50:44 5922

原创 关于如何解决软件打开时出现“无法定位程序输入点dbgcore.MiniDumpWriteDump于动态链接dbghelp.dll”

可以进入系统文件windows/system32下找到“dbghelp.dll”文件,然后将该文件复制粘贴到该软件的安装运行目录下进行替换,就可以很好的解决这个问题。

2019-07-07 22:56:24 8980

原创 关于通信方面的总结(通信协议、通信端口)

关于通信方面的总结(通信协议、通信端口)简介串行通信协议(数据怎么传输)同步串行通信协议异步串行通信协议串行接口标准(接口由多少根信号线、信号的电平类型,不考虑数据怎么控制传输)简介本文主要讨论串行通信协议uart、spi和i2c,串行接口标准rs232、rs422和rs485.串行通信协议(数据怎么传输)串行通信协议分为同步串行通信协议(spi、i2c)和异步串行通信协议(uart)。同...

2019-07-07 22:53:27 1974

原创 vivado学习第二 串口通信

vivado学习第二 串口通信奇偶校验位  奇偶校验位分为奇数校验位和偶数校验位,奇数和偶数的判断标准是依据发送一串或者一帧数据中“1”的个数。 奇数校验:发送的数据位+奇数校验位中“1”的个数为奇数个,也就是说如果数据位的“1”的个数为奇数个,则奇数校验位为数字0;如果数据位的“1”的个数为偶数个,则奇数校验位为数字1。 偶数校验:发送的数据位+偶数校验位中“1”的个数为偶数个,也就...

2018-08-20 08:37:28 2314

原创 vivado学习第二 串口通信之奇偶校验位

vivado学习第二 串口通信之奇偶校验位奇偶校验位  奇偶校验位分为奇数校验位和偶数校验位,奇数和偶数的判断标准是依据发送一串或者一帧数据中“1”的个数。 奇数校验:发送的数据位+奇数校验位中“1”的个数为奇数个,也就是说如果数据位的“1”的个数为奇数个,则奇数校验位为数字0;如果数据位的“1”的个数为偶数个,则奇数校验位为数字1。 偶数校验:发送的数据位+偶数校验位中“1”的个数为...

2018-08-20 08:36:49 2463

原创 关于组合逻辑和时序逻辑自己的一点理解

module r_g_b( //颜色控制模块//端口信号:模块的输入输出接口 input clk, //连接至分频时钟,为40MHz input rst_n, //低电平复位 input en_zx, //红色使能信号 output [2:0] vga_r, //红色(3位:根据数值的变化,控制颜色的深浅...

2018-08-19 22:16:58 1727

转载 vivado学习第三天 vga

vga的显示有很多标准,如下图所示: 实现800*600*60Hz为例。(800为列数,600为行数,60为刷新频率) 行时序:屏幕对应的行数为 628(a+b+c+d=e 段),其中 600(c 段)为 显示行;每行均有行同步信号(a 段),为 4 个行周期的低电平; 列时序:每个显示行包括 1056 列(a+b+c+d=e 段),其中 800(c 段) 为有效显示区,每一行有一个...

2018-08-19 14:22:39 2958

原创 vivado学习第二 串口通信

vivado学习第二 串口通信奇偶校验位  奇偶校验位分为奇数校验位和偶数校验位,奇数和偶数的判断标准是依据发送一串或者一帧数据中“1”的个数。 奇数校验:发送的数据位+奇数校验位中“1”的个数为奇数个,也就是说如果数据位的“1”的个数为奇数个,则奇数校验位为数字0;如果数据位的“1”的个数为偶数个,则奇数校验位为数字1。 偶数校验:发送的数据位+偶数校验位中“1”的个数为偶数个,也就...

2018-08-19 13:44:06 2642

原创 vivado学习第一天led流水灯编程

*vivado学习第一天led流水灯编程IBUFGDS原语使用 与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等。 IBUFGDS 自定义名字( .I(), ...

2018-08-15 20:41:30 3979

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除