自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

瘦子

开启战斗模式

  • 博客(139)
  • 资源 (9)
  • 收藏
  • 关注

转载 【软件分享】脱离Vivado建立单独仿真环境软件

【软件分享】脱离Vivado建立单独仿真环境软件

2023-09-19 05:41:02 171

转载 Vivado DDS IP核实现扫频信号

Vivado DDS IP核实现扫频信号

2023-09-15 06:03:46 210

原创 今天立个flag

自己的反思

2023-02-12 08:58:19 132

原创 modelsim脚本建立工程,仿真

quit-sim  #退出仿真.mainclearCreate the work libraryvlibworkvmapwork workCompile the verilog filesvlog  hall_mon.v#编译.v文件,文件名用自己的.v文件替代vlog  hall_mon_tb.vRunsimulationvsim  -voptargs=+acc hall_mon_tb#仿真,不优化,否则没有波形#

2020-09-25 18:24:04 265

原创 vivado tcl脚本文件建立工程和仿真,提高工作效率

最近一周一直在看tcl脚本方面的资料,整理出来我用的几个简单脚本。第一个编译,综合等等功能。#Vivado% cd C:/Users/GodWa/Desktop/SDK_Test/gate#  1.2 指定一个设计文件的输出路径,所有生成的报告、结果文件等都将存放在该路径文件夹下set outputDir ./gate/gate_Created_Data/top_output#  1.3 生成输出路径的目标文件夹file mkdir $outputDir# 1.4 读取设计的Verilog源文

2020-09-25 18:20:50 2629

转载 vivado----fpga硬件调试 (五) ----找不到ila核问题及解决

问题 一:WARNING: [Xicom 50-38] xicom: No CseXsdb register file specified for CseXsdb slave type: 0, cse driver version: 0. Slave initialization skipped.INFO: [Labtools 27-1434] Device xc7k410t (JTAG de...

2019-12-14 16:45:35 6230 1

原创 Vivado 调用自定义IP核

使用Vivado HLS生成IP核后,怎么在Vivado 调用自定义IP核;1.2.3.4.

2019-11-21 18:14:56 1049

原创 modelsim 独立仿真 Xilinx IP核

我Xilinx仿真一直是在vivado上做的,没有什么问题,现在做一个比较负责的程序,vivado自带的仿真就感觉不太好用了。自己从网上搜了很多关于modelsim仿真的资料,终于仿真成功了。现在总结一下,方便自己,也方便大家。第一步:在vivado上建立自己工程文件,实现自己设计的功能模块及IP核。综合通过没有问题。第二步:在设计中有用到IP核,就要先在vivado中编译IP核到models...

2019-10-18 09:07:07 3065

原创 记录调试CPLD的一个错误

其实这个没有太多意义就是记录一下,警告自己以后不要再出现同样的错误。今天在编译CPLD的程序时,总觉得引脚不对,自己核对了.tcl文件,没有问题,但是问题在于自己修改了.tcl文件,没有运行文件,哎哎。这个恨啊。可能是最近用xilinx的FPGA的原因吧,记混了。给自己一个解脱的理由。呵呵。...

2019-08-24 11:08:06 1051

原创 Linux 命令 source

今天在配置petalinux,所有的步骤都是按教程做的,也没有出现问题,在最后是使用petalinux-config的命令是出现了错误:petalinux-config:未找到命令。 尝试了很多次都不行,后来重新source命令一遍,就好了,应该还是路径不对的问题。重新理解source命令。source的用处:可以重载配置文件或者说执行shell脚本当对配置文件做了修改不需要重启,只需...

2019-08-22 15:45:39 318

原创 ZYNQ读取内存问题

在最近的项目用到由pl数据传输到ps端,在ps端读取数据的时候,发现读取数据的时间不能太快,要有微秒级的延时十几微秒,如果没有延时就会读取数据错误,真是什么问题都会出现,一步一个坑。...

2019-08-09 13:17:35 1863 1

原创 ZYNQ PS端IO的使用问题,有某个端口不能使用

ZYNQ PS端IO的使用问题,有某个端口不能使用这是昨天测试的时候遇到的问题,在使用ZYNQ PS端IO时遇到有个IO不能使用,一旦使用这个IO就导致其他功能不能正常工作,比如网络等。经过测试就时这个端口IO8的问题,只要把这个端口连接到外部电路上其他功能就不正常;而且我测试了各种情况,可以确定就时这个的io的问题。我也感觉莫名其妙。...

2019-08-09 10:46:07 847

原创 vivado 使用了debug ila信号调试后怎么删除干净debug信号

vivado 使用了debug ila信号调试后怎么删除干净debug信号以上三步删除干净debug信号,编译通过。新的改变我们对Markdown编辑器进行了一些功能拓展与语法支持,除了标准的Markdown编辑器功能,我们增加了如下几点新功能,帮助你用它写博客:全新的界面设计 ,将会带来全新的写作体验;在创作中心设置你喜爱的代码高亮样式,Markdown 将代码片显示选择的高亮样式...

2019-07-29 12:11:54 6802 3

原创 解决ZYNQ 每次在增加了IP核后会再生成一个hw_platform_1

有两个方法:1.如果不要原来的SDK工程了,就可以把原工程的SDK文件夹删除,重新加载SDK即可;这样就就会生成新的SDK工程。2.如果还想保留原来的工程,就把原来生成的hw_platform文件删除,重新加载SDK即可,这样就会只更新hw_platform,不改变原来的工程。...

2018-12-29 21:59:59 2619 3

转载 Vivado与SDK的联合调试方法-使用ILA

Vivado与SDK的联合调试方法注释也是必不可少的Markdown将文本转换为 HTML。KaTeX数学公式您可以使用渲染LaTeX数学表达式 KaTeX:Gamma公式展示 Γ(n)=(n−1)!∀n∈N\Gamma(n) = (n-1)!\quad\foralln\in\mathbb NΓ(n)=(n−1)!∀n∈N 是通过欧拉积分Γ(z)=∫0∞tz−1e−tdt&T...

2018-12-29 21:26:26 1394

转载 函数说明 getopt()用来分析命令行参数

函数说明 getopt()用来分析命令行参数。参数argc和argv分别代表参数个数和内容,跟main()函数的命令行参数是一样的。参数 optstring为选项字符串, 告知 getopt()可以处理哪个选项以及哪个选项需要参数,如果选项字符串里的字母后接着冒号“:”,则表示还有相关的参数,全域变量optarg 即会指向此额外参数。如果在处理期间遇到了不符合optstring指定的其他选项geto

2016-09-07 15:53:07 412

转载 C语言union关键字

union 关键字的用法与struct 的用法非常类似。union 维护足够的空间来置放多个数据成员中的“一种”,而不是为每一个数据成员配置空间,在union 中所有的数据成员共用一个空间,同一时间只能储存其中一个数据成员,所有的数据成员具有相同的起始地址。例子如下: union StateMachine { char character; int number; cha

2016-09-05 10:32:41 880

转载 FPGA作为从机与STM32进行SPI协议通信---Verilog实现

FPGA作为从机与STM32进行SPI协议通信—Verilog实现 0一.SPI协议简要介绍SPI,是英语Serial Peripheral Interface的缩写,顾名思义就是串行外围设备接口。SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便,正是出于这种简单易用的特性,现在越来越多的芯片集成了这种通信协议。

2016-08-09 09:53:18 5133 2

转载 STM32标准外设库中USE_STDPERIPH_DRIVER, STM32F10X_MD的含义

在项目中使用stm32标准外设库(STM32F10x Standard Peripherals Library)的时候,我们会在项目的选项中预定义两个宏定义:USE_STDPERIPH_DRIVER, STM32F10X_MD,如下图:这两个的作用是什么呢?1、 USE_STDPERIPH_DRIVER : to use or not the peripheral’s drivers in app

2016-08-03 13:18:07 4740

原创 STM32时钟配置,不同晶振时需要配置的程序

在“stm32f10x.h”这个头文件里: #define HSE_Value ((uint32_t)8000000) /!< Value of the External oscillator in Hz/ 斜体内容默认是8000000,在你修改了外部晶振时,要和你的外部晶振数值相同,不如我的外部晶振时24M,我就把它改成24M,这样就可以了, 还有一处要更改是在“system_s

2016-07-22 11:07:58 5730

转载 飞控中使用到数学函数

atan 和 atan2 都是求反正切函数,如:有两个点 point(x1,y1), 和 point(x2,y2);那么这两个点形成的斜率的角度计算方法分别是:float angle = atan( (y2-y1)/(x2-x1) );或float angle = atan2( y2-y1, x2-x1 );atan 和 atan2 区别:1:参数的填写方式不同;2:atan2 的优点在于 如果 x

2016-06-20 15:21:54 925

转载 Pixhawk---固件编译由make编译系统转到cmake编译系统解决方法

搞了这么久Pixhawk,也学了不少东西,我也来讲讲最近初学者最重要的问题:Lorenz Meier 宣布Master switched to CMake build system细节就不讲有什么编译速度优化啊什么的。。。解决方法:(1)Px4 Software Download (这个估计都会了吧,第一次玩的用这个下载这个最方便,好了,现在有源码了);(2)下载cmake软件,我用的是c

2016-06-19 15:46:20 556

转载 8位16位32位数据的拆分与合并程序

拆分//16位拆成两个8位u16 data16 = 0x1234;u8 data8_H,data8_L;data8_H = (u8)(data16 >> 8);data8_L = (u8)data16; 32位拆成4个8位方法一:u32 data32 = 0x12345678;u8 data8_1,data8_2,data8_3,data8_4;data8_1 = (u8)(data32 >> 24

2016-06-16 11:14:52 29772 1

原创 shell 语句

if **** thenfi 只有if条件成立时才执行then与fi之间的代码。

2016-06-15 18:41:58 335

原创 strcmp 函数

strcmpC/C++函数,比较两个字符串 设这两个字符串为str1,str2, 若str1=str2,则返回零; 若str1>str2,则返回正数; 若str1

2016-06-15 18:32:55 444

原创 keil5不能识别自己写的头文件

keil5不能识别自己写的头文件 遇到这种情况是应为自己没有在编译器中告诉编译器你的头文件在哪个文件夹里造成的, SO 首先project–>option…..—>…在这里设置编译文件的文件夹 OK

2016-05-27 17:01:29 14536 1

原创 飞控信号SBUS信号解析为PWM信号输出

sbus

2016-05-27 09:39:29 11680

原创 STM32F745VGT6使用SW模式下载程序

SW模式要接的引脚 JLINKV9 需要的硬件接口为: VCC, GND, SWDIO, SWDCLK (注:下面有我自己用JLINKV9的实际连线及相应实验结果) 关于是否要接上 Reset 引脚的问题 我测试时并没有接上 可以正常下载和调试 而且下载速度设置为 10Mhz 仍然没有问题速度的确快了很多 。

2016-05-26 10:23:32 1551

转载 pixhawk启动脚本分析

http://blog.csdn.net/czyv587/article/details/51253388

2016-05-06 11:15:30 773

原创 在飞控算法中遇到的数学名词

1.刚体: 在运动中和受力作用后,形状和大小不变,而且内部各点的相对位置不变的物体。绝对刚体实际上是不存在的,只是一种理想模型,因为任何物体在受力作用后,都或多或少地变形,如果变形的程度相对于物体本身几何尺寸来说极为微小,在研究物体运动时变形就可以忽略不计。把许多固体视为刚体,所得到的结果在工程上一般已有足够的准确度。但要研究应力和应变,则须考虑变形。由于变形一般总是微小的,所以可先将物体当作刚体

2016-04-27 09:29:02 977

原创 APM飞控常用的C++函数

1.strcmp extern int strcmp(const char *s1,const char *s2);C/C++函数,比较两个字符串设这两个字符串为str1,str2,若str1==str2,则返回零;若str1>str2,则返回正数;若str1<str2,则返回负数。2.void *memset(void *s, int ch, size_t n); 函数解释:将

2016-04-26 08:21:38 2038 1

转载 Pixhawk源码笔记一:APM代码基本结构

http://www.moz8.com/thread-38632-1-1.html (出处: 模友之吧)想要学习Pixhawk源码的朋友有福了,后边我会陆续的将Pixhawk的源码学习笔记整理出来分享给大家。敬请关注.欢迎交流。 基础知识 详细参考:http://dev.ardupilot.com/wiki/learning-the-ardupilot-codebase/ 第

2016-04-10 05:08:39 5388

转载 四轴飞行器姿态控制算法

http://bbs.loveuav.com/forum.php?mod=viewthread&tid=39&fromuid=7662 (出处: 爱无人机 - 专注无人机开发与设计)姿态解算姿态解算(attitude algorithm),是指把陀螺仪,加速度计, 罗盘等的数据融合在一起,得出飞行器的空中姿态,飞行器从陀螺仪器的三轴角速度通过四元数法得到俯仰,航偏,滚转角,这是快速解算,结合三轴地

2016-04-10 04:43:34 12250

转载 下载并构建PX4

http://blog.csdn.net/dhx20022889/article/details/46331507

2016-04-08 12:51:17 474

转载 无人机精灵4目避障可能采用的是FPGA+MCU

大疆新一代消费级航拍无人机精灵4最吸引消费者和业界关注的莫过于他的前向避障功能和5公里的图传技术。之精灵4采用了联芯LC1860平台,应用了该平台自带的双目感应技术;而图传技术也可能 关键词: 无人机 时间:2016-03-21 17:14 来源:未知 作者:ioter 点击:次分享到: QQ空间 新浪微博 腾讯微博 人人网 微信 我要发布文章大疆新一代消费级航拍无人机精灵4

2016-04-07 09:04:20 2364

转载 PX4/Pixhawk---快速成为开发者(Windows)

1 快速成为开发者入门教程(翻译)—官方 1.1 编译环境 (1)安装MSysGIT 安装完成后,配置GIT。安装注意安装过程中除了下面一步外,其他的步骤都采用默认安装。技术分享GIT中文乱码D:\Program Files (x86)\Git\etc中的git-completion.bash文件最后一行加上:alias ls=‘ls --show-control-chars --color

2016-04-06 13:10:23 4189

转载 5种常用的四轴飞行器PID算法讲解集合

在某莫上看到的,makeflyeasy大神的作品就分享给大家科普一下先分享一些算法的效果三角函数直接解算欧拉角+互补滤波+单级PID版本 效果:简单暴力,但是补滤波效果差,单级PID响应慢,打舵跟随效应差。三角函数直接解算欧拉角+卡尔曼滤波+单级PID版本 效果:卡尔曼滤波噪声偏大,滞后略微严重,单级PID难操作,打舵响应慢,跟随效应差。不过比较适合初学四轴的人,难度比四元数加串级PID版本

2016-04-05 08:43:09 23503 2

原创 DeviceIoControl

DeviceIoControl是直接发送控制代码到指定的设备驱动程序,使相应的移动设备以执行相应的操作的函数。外文名 DeviceIoControl 简 介 发送控制代码到指定设备驱动程序 参数表 参数类型及说明 Long 非零表示成功,零表示失败 Syntax 编辑 BOOL WINAPI DeviceIoControl( I

2016-04-01 15:18:30 781

原创 quartus modelsim-altera, Can't launch the Modelsim-Altera Software

如果你的链接目录是如下:“C:\altera\13.1\modelsim_ase\win32aloem” 在有的电脑上是可以使用的,就是能链接上的,但是有些电脑就不行的,我就遇到了这种奇葩的问题,同样的设置方式在不同的电脑上一个能用,一个报错。但是路径如下目录就可以了,其实就增加“\”,就可以了,很是神奇........无语!!!“C:\altera\13.1\modelsim_ase\win

2016-03-25 11:11:51 5030 3

转载 s2500驱动程序详细解析和DriverStudio开发流程

一. Windows NT 和 2000驱动程序的基本层次结构目的:明确从应用程序到驱动程序一层层的函数调用的实现方式 2. Windows体系结构:体系结构中还有一个Pnp Manager(即插即用管理器):所有即插即用事件PnP配置管理器为驱动程序将基本的系统资源分类为:I/O端口、内存地址、DMA通道和中断。 1) 检测到新设备,通知Pnp Manager 2) Pnp根据这个

2016-03-21 09:52:35 438

crc16_test.v

verilog程序实现CRC-16校验,这个程序是使用工具生成后,修改过的,这是CRC-16 16位的校验数据。

2019-09-19

Xilinx Zynq-7000 嵌入式系统设计与实现 .rar

zynq系列开发参考不错的书籍,自己开发作为开发书籍,感觉不错,分享给大家,一起学习。

2019-07-18

Xilinx Zynq-7000 嵌入式系统设计与实现 .rar

zynq系列开发参考不错的书籍,自己开发作为开发书籍,感觉不错,分享给大家,一起学习。

2019-07-18

Understanding Digital Signal Processing.pdf

Understanding Digital Signal Processing.pdf 理解数字信号处理, 高清,很好的一本数字信号处理的书。

2017-11-17

信号与系统 Signals and Systems Laboratory with MATLAB

Signals and Systems Laboratory with MATLAB.pdf, 一个详细的MATLAB教程,介绍一个初学者程序员的语言 实验室练习,给予学生实践经验和帮助教授组织课程实验室组件 以平行方式呈现连续和离散时间,有效地说明了两者之间的相似之处和差异 以表格格式呈现数据的分步示例,通常为每个问题提供多种不同的解决方案

2017-11-17

飞控初学者调试_EXUAV-开源飞控之王

飞控初学者调试_EXUAV-开源飞控之王-PIXHAWK教程V0.4.11

2016-07-22

Avalon-ST总线规范

介绍Avalon-ST总线规范

2015-07-26

Linux Shell脚本攻略

Linux Shell脚本攻略,很好的突击学习的脚本资料

2015-07-26

STM32正交编码器

STM32正交编码器接口及配置详细讲解,在马达控制类应用中, 正交编码器可以反馈马达的转子位置及转速信号。TM32F10x 系列MCU集成了正交编码器接口,增量编码器可与MCU直接连接而无需外部接口电 路。该应用笔记详细介绍了STM32F10x与正交编码器的接口,并附有相应的例程,使用户可以很快地掌握其使用方法。

2013-10-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除