自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(305)
  • 资源 (1)
  • 收藏
  • 关注

原创 TQZC706开发板教程:编译zynq linux内核2019_R1

具体而言,脚本的第一个参数是您希望从GitHub上下载的用户名称,第二个参数是项目名称,第三个参数则用作压缩包的命名标识,您可以根据需要填写时间、项目名称或其他相关信息。从我之前提供的下载链接中,您可以观察到“analogdevicesinc”是第一个参数,“linux”是第二个参数,而第三个参数则可以根据您的具体需求进行灵活填充。把ulmage复制到BOOT盘中(自己制作的或img提供的都可以)。为了方便您的操作,本文所使用的所有文件以及最终生成的文件,我都已经整理并放置在本文末尾提供的网盘链接中。

2024-04-19 16:35:11 865 1

原创 TQZC706开发板教程:使用vivado2023_1创建hello_world工程

给开发板接上串口,JTAG,电源线,启动模式设置为JTAG,开启电源开关,打开串口软件,注意开发板串口接入电脑后会识别出两个串口,因为暂时不知道从那个串口输出,所以需要同时打开。搜索7z045ffg-->选择xc7z045ffg900-2-->下一步,finish完成工程创建。打开Vivado2023.1-->创建工程-->输入工程名称-->选择保存地址-->下一步。添加硬件设计文件-->选择上面生成的文件-->Finish。双击zynq-->导入配置-->选择zc706-->OK。

2024-04-16 18:20:48 297

原创 TQZC706开发板教程:在ZC706上运行ADRV9371(vivado2018.3)

在github上搜索hdl选择第一个-->选择版本-->我所使用的vivado是2018.3版本,所以这里我下载的是hdl_2019_r1-->在附录中下载资源-->下载对应版本的No-Os。选择file文件夹-->按照顺序添加file中的文件(顺序为:fsbl-->bit-->elf)-->生成BOOT文件。创建file文件夹-->进入文件夹-->复制fsbl文件-->复制bit文件-->复制elf文件。设置环境变量-->进入工程目录-->复制硬件设计文件-->编译工程,等待编译成功。

2024-04-12 11:58:02 369

原创 TQZC706开发板教程:在ZC706上运行AD9361(vivado2018.3)

在github上搜索hdl选择第一个-->选择版本-->我所使用的vivado是2018.3版本,所以这里我下载的是hdl_2019_r1-->在附录中下载资源-->下载对应版本的No-Os。选择file文件夹-->按照顺序添加file中的文件(顺序为:fsbl-->bit-->elf)-->生成BOOT文件。创建file文件夹-->进入文件夹-->复制fsbl文件-->复制bit文件-->复制elf文件。设置环境变量-->进入工程目录-->复制硬件设计文件-->编译工程,等待编译成功。

2024-04-11 18:05:30 594

原创 TQ15EG开发板教程:在MPSOC上运行ADRV9371(vivado2018.3)

选择MPOSC,选择file目录,点Add添加文件,按照fbsl-->.bit-->.elf的顺序添加,最后生成BOOT.bin文件。打开vivado工程文件夹-->进入.sdk文件夹-->复制.hdf文件-->粘贴到no-os/project/ad9371目录下。-2019-r1->projects->adrv9371->zcu102,右键空白处打开命令行串口。打开设置-->修改设备型号-->搜索15eg-->选择使用的设备型号-->点击OK。打开设计-->查看IP状态-->更新IP-->确定更新。

2024-04-11 14:28:16 919

原创 TQ15EG开发板教程:在MPSOC上运行ADRV9009(vivado2018.3)

等待bit文件生成后,进入adrv9009zcu102.runs/impl_1/文件夹,这里有bit文件,可以在根目录中创建一个file文件,把bit文件复制过去。编译完成后在命令行输入vivado,打开vivado,点击Open Project-->选择项目-->点击OK。-2019-r1->projects->adrv9009->zcu102,右键空白处打开命令行串口。打开设置-->切换器件-->搜索15eg-->选择使用的器件,点击OK。打开设计-->查看IP状态-->更新IP-->确定更新。

2024-04-10 13:27:00 881

原创 TQZC706开发板教程:使用Vivado和Vitis烧写flash

无论采用何种方式烧写Flash,都务必准备好相应的烧写文件。我在上面的链接中已提供了测试文件供您参考。烧写Flash时通常需要两个文件:BOOT.bin和zynq_fsbl.elf,请确保您已备齐这两个文件,以便顺利进行烧写操作。从上述图示中,我们可以清晰地看到我所提供的文件集包含了多种组件。其中,除了BOOT.bin和zynq_fsbl.elf这两个关键文件外,还有一些脚本文件,如makeboot.bat,它们用于生成BOOT.bin文件。

2024-04-09 11:17:11 456

原创 TQ15EG开发板教程:使用vivado检测PL端的DDR4

在添加完成bit后,vivado会自动添加同一目录下的 .ltx文件,点击Program烧写即可。开启开发板的开关,点击Open target,选择Auto Connect,识别出芯片型号。1,可以直接烧写我所提供的检测文件,文件在file文件夹中,所需要的文件有两个如下图所示。右键芯片,点击Program Device...,烧写bit文件。把开发板的启动模式设置为JTAG启动,连接好电源和JTAG线。添加bit文件,找到我所提供的bit文件,点击ok。

2024-04-09 09:31:18 188

原创 TQZC706开发板教程:在ZC706_100上运行ADRV9009(vivado2019.1)

回到adrv9009目录,进入src->app,打开headless文件,修改114行中的代码,这里如果不做修改编译时也会显示没有定义,在parameters文件中可以看到 TX_CORE_BASEADDR 等于 RX_CORE_BASEADDR 加上0x4000个地址 ,所以这里可以直接用下面这行代码即可,修改完成后保存。打开hdl-2019-r2->projects->adrv9009->zc706->adrv9009_zc706.sdk->fsbl->Debug,复制fsbl到file中。

2024-03-28 13:37:05 562 1

原创 TQZC706开发板教程:ZC706+AD9361 运行 openWiFi

打开rootfs盘,右键空白的地方点击Open in Terminal 打开命令行,输入 sudo su获得权限,输入密码。回到rootfs盘,进入目录 etc/network,删除 interfaces.new 文件夹,若没有删除即可。可以保存预设,方便下次使用,输入预设名称,保存后双击预设打开窗口。成功运行上面命令后,打开可以接收wifi的设备,链接上名为openwifi的无线网192.168,密码默认为openwifi。把SD卡,AD9361模块,串口线和电源插入开发板,启动模式设置为SD卡启动。

2024-03-28 11:00:37 438

原创 zedboard+AD9361 运行 openWiFi

打开rootfs盘,右键空白的地方点击Open in Terminal 打开命令行,输入 sudo su获得权限,输入密码。回到rootfs盘,进入目录 etc/network,删除 interfaces.new 文件夹,若没有删除即可。可以保存预设,方便下次使用,输入预设名称,保存后双击预设打开窗口。成功运行上面命令后,打开可以接收wifi的设备,链接上名为openwifi的无线网192.168,密码默认为openwifi。把SD卡,AD9361模块,串口线和电源插入开发板,启动模式设置为SD卡启动。

2024-03-28 10:06:38 400

原创 TQ15EG开发板教程:使用VIVADO2023.1烧写flash

在vivado中烧写的flash程序需要准备好BOOT.bin,若程序不带PS端就可以直接烧写,若烧写的flash程序带PS端就需要加上fsbl文件。选择BOOT.bin文件,选择fsbl文件,勾选Eras,Program,verify,点击OK开始烧录,Verify是校验可以选择不勾选,烧写的速度会快一点,若烧写失败请勾上重试。等待烧写完成,把开发板启动模式改为flash启动↑↑↓↑,插上串口线,打开串口助手,开启开关在串口助手中查看打印内容。打开开关,点击Open tar...

2024-03-22 15:29:25 325

原创 TQZC706开发板教程:在ZC706上运行ADRV9009(vivado2019.1)

回到adrv9009目录,进入src->app,打开headless文件,修改144行中的代码,这里如果不做修改编译时也会显示没有定义,在parameters文件中可以看到 TX_CORE_BASEADDR 等于 RX_CORE_BASEADDR 加上0x4000个地址 ,所以这里可以直接用 TX_CORE_BASEADDR - 0x4000即可,修改完成后保存。进入src->devices->adi_hal,打开para...文件,在文件中添加下面这行代码。

2024-03-21 15:26:25 759 1

原创 TQ15EG开发板教程:高速口自环试验

把开发板插上电源,JTAG下载线,以及SFP28光口自环模块,这个模块内部TX直接连接RX形成回环效果,把启动模式设置为JTAG模式↑↑↑↑。点击IP Catalog->搜索 ibert->选择第一个,双击打开配置。等待bit文件生成完毕后,开启开发板电源,点击Open Har...设置完成后点击OK,Generate,等待编译完成。选择地址,点击OK后会打开另一个vivado工程。​选择生成的bit文件,点击Pro...烧录程序。选择通道点击右键,点击Crea...查看眼图。右键芯片,选择Prog...

2024-03-14 15:51:49 411

原创 vivado高速口自环测试27dr RFSOC

把设备插好JTAG下载器和高速口自环插口,接上电源,点击Open tar...->点击Auto Connect。根据原理图,没有串联电容时选择DC,否则选择AC,Termi...选择ACTT。点击IP Catalog->搜索 ibert->选择第一个,双击打开配置。进入时钟配置,时钟源选择QUAD128 0,配置完成点击OK即可。右键某一个通道,点击Crea...,可以打开眼图进行观察。等待bit文件生成完毕后,点击Open Har...继续OK,Generate,等待编译完成。选择BIT文件,点击烧录。

2024-03-13 18:12:57 419

原创 TQ15EG开发板教程:运行MPSOC+AD9361(vivado2019.1)

等待bit文件生成后打开SDK生成BOOT.BIN文件,只需要把之前的bit文件替换为修改引脚后的bit文件,把AD9361模块插入FMC1接口,把新的BOOT.BIN文件复制到SD卡中插入。回到vivadio,打开XDC文件,把引脚绑定修改到FMC1上,引脚绑定内容我会放在下面提供的网盘连接中,里面有个PINS.txt文件,FMC012的引脚都在里面。我这里修改完了,使用15eg开发板的可以直接导入我的配置,配置文件会放在最后的网盘连接中,配置完点击OK。

2024-03-13 11:36:40 1010

原创 TQTT X310 软件无线电设备的FLASH固件更新方法--WIN和UBUNTU环境

USRP X310 TQTT X310

2024-03-05 12:44:34 558

原创 SDRPI烧写教程

插上电源和JTAG线,这块板子的电源和UART使用的是同一个接口,若先插上电源后再改启动模式,需要复位或拔插电源线后才能进行烧写。打开SDK,点击Xilinx->Program Flash进行烧写。首先准备好需要烧写的文件,一共有两个 .BIN 和 .elf文件。添加.BIN文件和.elf文件,勾选擦除和校验选项,点击烧写即可。把启动模式改为flash启动,再点击复位就可以看到烧写进去的程序。查看SDK下方提示框,显示烧写成功即可。把跳线帽改为组左边两个为SD卡启动。把SDRPI设置为JTAG模式。

2024-03-04 15:03:00 387

原创 TQ15EG开发板教程:创建运行petalinux2019.1

首先需要使用与petalinux相同版本的vivado创建工程,与之前不同的是在创建硬件设计时需要勾选上添加bit文件,所以要在生成bit文件之后再创建硬件设计文件。打开装有对应版本的linux系统,设置petalinux的环境变量,在petalinux的安装目录中找到settings.sh,使用下面这条命令设置环境变量。8把工程文件->images->linux 下的BOOT.bin和image.ub拷贝到SD卡中,我也会把这两个文件放到file文件夹中,需要测试的可以自取。修改完成后保存,再次编译。

2024-03-04 11:19:59 564

原创 TQ15EG开发板教程:使用FatFs读写SD卡

把开发板接通电源,等待开发板配置后可以在串口看到提示信息,同样也可以把SD卡取出插入读卡器,在把读卡器插入电脑,可以在资源管理器中看到刚刚通过程序创建的文本文件,打开后可以看到写入的内容。通过串口的提示可以看到写入与读取到的内容相同,我们可以把SD卡取出插入读卡器,在把读卡器插入电脑,可以在资源管理器中看到刚刚通过程序创建的文本文件,打开后可以看到写入的内容。把文件拷贝到sd卡并插入开发板,把启动模式改为1110使用SD卡启动,打开电源,显示效果与JTAG模式相同。修改文本名称与文本内容,修改后记得编译。

2024-02-20 16:24:56 384

原创 TQ15EG开发板教程: 使用PS端实现DP显示

使用PS端的DP显示不需要用到PL端,所以在vivado中只需要完成硬件配置即可,由于需要用到DP显示,硬件配置在之前的基础上需要修改,我也会把配置完成后的预设放在工程文件夹下的file文件夹中。把文件拷贝到sd卡并插入开发板,把启动模式改为1110使用SD卡启动,打开电源,显示效果与JTAG模式相同。把开发板接好电源,DP,串口,jtag线,把启动模式设置为0000,jtag启动模式,开启开关。等待编译完成,再次选择.spr文件,选择板及支持包,导入范例。选择.spr文件->选择板及支持包->点击修改。

2024-02-20 16:19:49 337

原创 TQ15EG开发板教程:开发板资源介绍

如下图所示, CMT 的输入可以是 BUFR, IBUFG, BUFG, GT, BUFH,本地布线(不推荐使用),输出需要接到 BUFG 或者。由于一个复杂的 FPGA 系统往往需要多个不同频率,相位的时钟信号。FPGA 的设计中,时钟系统的 FPGA 高速的设计极其重要, 一个低抖动, 低延迟的系统时钟会增加 FPGA 设计的成功率。本实验中为大家演示如果调用 Xilinx 提供的 PLL IP 核来产生不同频率的时钟, 并把其中的一个时钟输出到 FPGA 外部 IO 上, 下面为程序设计的详细步骤。

2024-02-03 16:00:19 974

原创 TQ15EG开发板教程:开发板Vivado硬件设置

(0)Cas Wirte xx: 这里是设置的12就可以,从上图看出DDR2400,这里要看他支持那些,这里选择常用的12。在 Output Clocks 窗口,如果不是 IOPLL,改成 IOPLL,保持一致,用同样的 PLL。我们按照2400的频率来配置;下面是几个比较重要的时间,然后其实都是在手册里面,然后还是先找到对应的83E,然后找到你要的时间。(2)位宽看你选择的是512x16还是1Gx8,这里我们选择是前者,所以16。第六步,配置PS端的高速外设以太网,usb,dp,sata接口。

2024-02-03 15:35:14 881

原创 TQ15EG开发板教程:使用vivado2023.1实现LWIP的网络传输

使用网口可能需要频繁的开关开发板测试,所以使用SD启动最方便,程序编译完成后生成BOOT.bin文件,由于用不到PL端,所以生成boot文件时不需要BIT文件,把BOOT.bin文件烧进SD卡并插入开发板,设置好启动模式1110为SD卡启动,插好网口连接电脑或者路由器都可以,插好串口,开启电源。LWIP的模板内容为数据回环,我们输入什么它就会返回什么,所以我们在窗口内输入字符,开发板就会立刻输出一个。右键设计模块生成HDL文件,本工程不会使用到bit文件所以不用生成bit文件,接下来是创建vitis工程。

2024-02-03 14:05:16 456

原创 TQ15EG开发板教程:使用vivado2021.1实现LWIP的网络传输

创建工程模板在hello_world中已经介绍过了,这里直接从配置完zynq ip核开始,由于使用vivado的版本不同,配置ZYNQ时需要用到的tcl文件我会放在工程文件夹下的file文件夹中。使用网口可能需要频繁的开关开发板测试,所以使用SD启动最方便,程序编译完成后生成BOOT.bin文件,由于用不到PL端,所以生成boot文件时不需要BIT文件,把BOOT.bin文件烧进SD卡并插入开发板,设置好启动模式1110为SD卡启动,插好网口连接电脑或者路由器都可以,插好串口,开启电源。

2024-01-30 19:04:21 426

原创 TQ15EG开发板教程:使用vitis烧写flash启动

选择生成MCS文件,选择保存的地址,若没有自动添加bit文件则需要手动添加,bit文件在工程目录下的 ***.runs 文件夹,下的impl_1文件夹中,点击Create Image生成BOOT.mcs文件。把开发板插好电源和JTAG线,把启动模式设置为flash启动:0010开启电源开关。选择刚刚添加的mcs文件,选择flash型号为qspi-x8点击Program即可。打开所需要固化的vitis项目,右键应用程序点击Creat...之后每次启动都只需要插好电源和设置好启动模式就可以重复启动了。

2024-01-30 19:00:34 489

原创 TQ15EG开发板教程:使用ps点亮mio的led

Ps的EMIO是从78开始,根据上面代码和引脚绑定可以看出,使用SW3可以控制mio24的led小灯,使用SW2可以控制mio25的led小灯,在使用到emio时则需要用到BIT文件。因为要用到ps的GPIO,所以要对ZYNQ进行额外的配置,双击ZYNQ打开配置->打开IO口配置->勾选GPIO0 MIO外设。代码修改完成后进行编译,把板子连接好JTAG和电源线,把启动模式改为0000开启电源,由于只使用到PS端所以不需要烧写BIT文件可以直接运行。修改里面的代码,我会提供到工程目录下的file文件夹中,

2024-01-30 18:59:27 407

原创 TQ15EG开发板教程:在PL端使用外部时钟驱动led灯

15eg这块板子有俩个外部晶振,分别时200M和74.25 M我们可以在原理图中找到,其中200M的时钟时差分信号,我们在使用时需要把差分信号改为单端信号,可以使用官方自带的 demo 把差分信号转换为单端信号,IBUFDS 模块有一个输出两个输入,其中O为输出的单端时钟,I和IB为输入的差分时钟。选择Bit流文件地址,bit文件在工程目录下的 ***.runs 文件夹,下的impl_1文件夹中,点击program进行烧录。打开设计文件修改里面代码,代码我也提供了,在工程文件夹下的file文件夹中。

2024-01-30 18:54:33 366

原创 TQ15EG开发板教程:在VIVADO2023.1 以及VITIS环境下 检测DDR4

选择bit流文件的地址,一般在工程目录中的 ***.runs 文件夹,下的impl_1文件夹中。插好板子上的电源,JTAG和UART线,把启动模式设置为JTAG模式0000,开启电源开关。在生成bit流的时候可以打开vitis,首先需要在vivado中导出硬件平台。第一个选项是生成可编辑的HDL文件,第二个选项则不可编辑,这里默认即可。打开串口助手波特率设置为115200,停止位1,数据位8,无校验。烧录成功,板子上的DL7,DL11和DL12都会亮起来。串口配置,设置MIO电压为1.8V,打开两个串口。

2024-01-30 18:48:43 491

原创 TQ15EG开发板教程:使用AXI GPIO IP核点亮led

vitis会自动帮我们添加fsbl文件和elf文件,我们只需要再添加bit文件就可以了,bit文件在工程目录下的 ***.runs 文件夹,下的impl_1文件夹中,点击Create Image生成BOOT.bit文件。打开开发板的原理图 “TQ15EG_MPSOC原理图 ” 在工程目录下的file文件夹中,搜索gpio_led,找到led灯连接的端口,从原理图中我们可以看到led所绑定的引脚,以及电压大小。双击 GPIO IP 核进行设置,设置为输出模式,位宽为4,设置完成后点击OK。

2024-01-30 18:35:05 382

原创 TQ15EG开发板教程:自建AXI外设IP核点亮led

打开开发板的原理图 “TQ15EG_MPSOC原理图 ” 在工程目录下的file文件夹中,搜索gpio_led,找到led灯连接的端口,从原理图中我们可以看到led所绑定的引脚,以及电压大小。由于在vivado2023.1版本中,使用自建AXI IP核会导致vitis无法编译成功,所以这里先不添加IP核,右键设计模块,点击Generate Output ...点击borwse...选择bit文件,文件在工程目录下的 ***.runs 文件夹,下的impl_1文件夹中,点击program进行烧录。

2024-01-30 18:29:20 387

原创 TQ15EG开发板教程:使用vivado2023.1点亮PL led

打开开发板的原理图 “TQ15EG_MPSOC原理图 ”在工程目录下的file文件夹中,搜索gpio_led,找到led灯,从原理图中我们可以看当引脚输出高电平时LED灯亮。点击Add Sources添加设计文件,这里一个有3个选项,第一个添加引脚约束文件,第二个是添加设置文件,也就是.V文件,第三个是添加仿真文件。选择Bit流文件地址,bit文件在工程目录下的 ***.runs 文件夹,下的impl_1文件夹中,点击program进行烧录。点击Add Sources,添加引脚约束文件。

2024-01-30 18:18:23 402

原创 TQ15EG开发板教程:使用vivado2023.1建立hello world工程

双击 IP 对 IP 的各个功能参数进行配置,这个配置必须参考硬件原理图完成,所以 FPGA 或者 SOC 工程师必须 具备一定的硬件分析能力,可以阅读原理图,实现对硬件资料在软件上的定义分配。点击borwse...选择bit文件,文件在工程目录下的 ***.runs 文件夹,下的impl_1文件夹中,点击program进行烧录。输入项目名称和地址,下面那个选项为是否使用项目名称新建一个文件夹,我这里已经建了一个hello_world文件夹,所以不勾选。在vitis中点击Debug,进入调试界面。

2024-01-30 18:08:14 1192

原创 MS5611的ZYNQ驱动试验之三 控制器代码实现

另外我们看到RD_U24的返回的结果保存在R寄存器里,这就实现了类似函数的返回。我们看到这个控制器在开始运行后发送一个复位命令,之后从PROM里面读出6个16位校准字传输出去(Q是数据输出,Q_WR是Q有效写的指示,可以接在一个队列里面),再之后就检测strat_rd u24信号,如果此信号有效则。这四个操作中1,3调用了ISSUE_CMD“函数”,2,4调用了RD_U24“函数“,这就实现了很好的复用性,函数优势体现出来了。3,上述C函数的实现方式是最基本的我们考虑在HDL写的FSM里面如何实现。

2023-10-13 19:22:27 235

原创 MS5611的ZYNQ驱动试验之二 控制器功能考虑

如果是一个简单的SPI外设,我们用第一钟方法是专业的,但是我们为了图省事,完全可以采用第二种方法,我们做一个SPI控制器的PL加速器,实现SPI的输入输出,让PS只操作几个寄存器就可以SPI数据收发,但是我们一般PS在进行SPI进行写操作之前要等待上一个SPI操作完毕,在进行读操作要等待读的完成,所以PS依然在等待。让PS直接操作PL写的IO脚,模拟出时序在这种情况下也是比较好的选择,这种模拟出来的时序能到2Mhz的样子,也不算低。这种方式好处是调试方便灵活。1,用PL构造时序,做成所谓的加速器。

2023-10-13 18:50:39 666

原创 MS5611的ZYNQ驱动试验之一 分析

项目需要用到MS5611气压计模块,原理图很简单明了,如下:这里PS接GND是SPI接口模式,PS接VDD是I2C接口模式。我在设计原理图时候直接设置成了SPI模式,当然这个SPI不是纯粹意义的SPI接口,后面会有展开说。

2023-10-13 18:25:03 344

原创 在VIVADO下烧写ZC706板载FLASH的操作步骤

介绍ZC706板子使用VIVADO进行FLASH烧写的方式

2023-05-27 12:16:30 2210

原创 ZC706P试验PL_DDR3内存条的步骤方法

ZC706P 板卡完全兼容XILINX官方的ZC706,当然也支持PL外挂的1G的DDR3内存条,这个片BLOG我提供从官方下载的一个文档和一个项目,演示一下验证DDR3的步骤。如果仅仅是验证板子的PL的DDR3是否正常运行,可以不安装VIVADO2015.4版本,可以继续往下看。这里用的VIVADO版本是2015.4,可以从下面的链接下载到VIVADO 2015.4的安装文件。LED1闪烁,是一个计数器的高位 ,这种闪烁表示时钟存在。LED2不亮,如果 亮的话是表示有错,不亮也是正确的。

2023-05-05 18:50:08 877 1

原创 PCIE的AXI LITE MASTER端使用

思路就是用XILINX提供的XDMA的PCIE核,用AXIS_MASTER和AXIS_SLAVE 接口实现数据流接驳AD9361的数据口,使用PCIE核提供的AXI_LITE_MASTER控制若干需要的AXI_LITE外设。这里我们看到使用了mmap函数,首先获取_user文件的基本虚拟地址,之后再加上图ADDERESS EDITER里面列的偏移地址,就是AXI_LITE_SALVE的REG0地址,当然REGn的话只需要在这个偏移量基础上加4*n就可以。运行后显示OK,试验成功。

2023-03-20 23:39:23 869

原创 ZC706P+ADRV9009连接RADIOVERSE详解之三

打开软件界面我们看到,板子默认的地址为192.168.1.10 端口号为55555.我们一定也设置跟板子连接的以太网口处于192.168.1网段,并且子网掩码是255.255.255.0,另外也可以先暂时关闭掉其他网络接口,比如无线网卡等。之后会有更新进度条,大约几十秒后,会提示板子将从新启动,我们再次等待板子的LD0~LD3闪烁完毕,板子能ping通状态下,就可以再次connect. 再次强调每个SD卡只需这样更新一次。对这个软件的进一步的操作,我们尝试在接下来的blog继续探究。步骤3 :更新固件。

2023-01-01 20:08:41 935

并口的c8051编程器

这个是在LINUX下编译运行,所有源代开放,可以基于这个做修改 做成别的接口。但是现在只能 编程C8051F320

2010-06-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除