自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(73)
  • 资源 (1)
  • 收藏
  • 关注

原创 存储器电路性能仿真平台CACTI和NVSIM使用心得

目录NVSIM简介使用存储单元配置结果CACTI简介使用存储单元配置结果NVSIM简介NVSIM平台发表于2014年,至今已有500+引用,用于仿真新型存储器(RRAM,STT-MRAM,PCM,FBRAM,SRAM,3D-NAND)这些的timing,power,area。NVSIM paperNVSIM github 工程可设置的内容会具体到各个子电路模块,比如你可以改换SA的类型。使用本来直接命令行输入make编译就可以了,但是报错要在linux里装c++的编译器,叫g++

2022-05-12 00:34:22 2571 2

原创 2017-2021年 ISSCC/IEDM/VLSI三大会论文库信息提取与统计的工作流

目录工作流文献整理(mendeley)批量序列化重命名(advanced_renamer)研究机构提取(matlab/python)表格信息录入简单的数据统计分析工作流首先你得有所有paper的pdf文件库吧,然后这些文件都是这么命名的:故而需要把文件全都重命名,要包含标题/年份/会议/作者这些信息,然后按照机构分类,在机构下再重编号,比如"IMEC001_IEDM2017_Ge stained metal stack FinFET xxxxxxxxx_Mark harley yyyyyyyy.pd

2022-04-24 12:06:07 1448

原创 Matlab脚本分享:字符串列表的正则检索、分割提取

写了一个从pdf列表里提取出来的 含文献作者/单位的表格 列表的处理,主要是处理字符串,字符串的正则检索、分割提取。path ='H:\Matlab2018a\MatlabPrj\20220417_PaperDataProcess\';%matlab程序所在路径cd(path);%% [data,strpaper] = xlsread('paper11.xlsx', 'sheet3', 'A1:C24'); %在表格文件里读出三列 data列是paper编号 1~117;strpaper列1

2022-04-24 11:34:06 1441

原创 存储器电路设计学习记录之 同步SRAM存储器电路设计ch1:总体架构&工作状态转换&读写时序

这堆文章就着一堆SRAM的研究生论文和一本书《CMOS SRAM Circuit Design and Parametric Testin Nano-Scaled Technologies》来完成这个小项目,不深究SRAM,只掌握存储器外围电路的设计技能,在cadence上基于28nm FDSOI工艺进行仿真设计,会尝试一点版图和后仿,先学会前仿再说。参考以下SRAM硕士文章进行复现:文章目录总体结构状态描述工作时序总体结构静态随机存储器有同步(Synchronous)与异步(Asynchrono

2022-04-01 22:56:12 2342

原创 存储器电路设计学习记录之 buffer提高驱动能力/带负载能力

这里只讨论数字电路里的双反相器式buffer,模拟运放型的Buffer不懂。以前学的只记得buffer链用来插在长wire中以减小delay,还有放在大扇出逻辑中形成buffer tree来减小扇出,保证摆幅,减小延迟,也就是保证驱动能力了。自己有个理解,但是讲起来还是绕,下面是各家的解释:目录1.数字电路的输入输出端加buffer的作用是什么?2.他和反相器的区别在哪啊?3.增强驱动能力/减少连线负载/降低delay都是如何体现的?4. 确保信号时序正确5. 增强驱动能力什么是带负载能力提高驱动能力

2022-03-24 20:13:40 6975 1

原创 存储器电路设计学习记录之 版图验证DRC LVS LPE 及后仿扫盲

目录版图设计步骤版图设计方法分类版图设计完成后的验证工作1.设计规则检查 (DRC)2.版图与电路图一致性检查 (LVS)3.电学规则检查(ERC)4.版图寄生参数提取 (LPE)功能仿真(后仿)1. 连写连读2. 连续读写3. 交叉读写4. march C+ 算法本文主要参考自2007届 西电 杨清宝 的**《嵌入式 SRAM 的高速、低功耗设计及优化》**硕士论文,知网下载。版图设计步骤整个版图的设计工作可以分为划分(partition)、布图规划 (floorplanning),布局(place

2022-03-23 23:04:20 11198

原创 存算一体文献阅读笔记二:XNOR-RRAM architecture for BNN from Shimeng Yu in ASU 2017

目录参考文献Background:BNN与XNOR operation前向传播反向传播XNOR小结RRAMCircuit designPeripheral circuitSAmux & decoder参考文献[Sun, X., Yin, S., Peng, X., Liu, R., Seo, J. S., & Yu, S. (2018). XNOR-RRAM: A scalable and parallel resistive synaptic architecture for bin

2022-03-15 16:40:11 1873 2

原创 电路设计学习一:DAC/ADC原理

文章目录参考文献DAC基本原理DAC分类倒T型电阻网络权电流转换器DAC的性能指标分辨率转换速度ADC基本原理ADC分类ADC的性能指标ADC的位数分辨率基准源转换速率量化误差参考文献DAC数模转换/ADC模数转换单片机 AD/DA数模转换模数转换(A/D)与数模转换(D/A)DAC基本原理DAC将输入的数字量按权的大小,通过电阻网络转化为模拟量,再通过加法电路,转换为与数字量成比例的模拟量。实际上就是二进制转换为十进制的过程。基本组成包括锁存器、电子开关、基准源、权电阻网络和求和电路。锁

2022-02-27 17:49:11 24752 2

原创 存算一体文献阅读笔记一:UCSB-谢源-PRIME-RRAM NN Architecture

PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory. Proceedings .Chi, P., Li, S., Xu, C., Zhang, T., Zhao, J., Liu, Y., Wang, Y., & Xie, Y. (2016).

2022-02-24 16:56:09 2263 4

原创 MRAM学习笔记——4.SOT-hall器件的测试

目录前言测试方案器件情况Paper1:Cao(2019,Advanced Functional Materials)测试1测试2测试3测试4测试5测试环境Paper2:Zhang(2019,Advanced Electronic Materials)测试1测试2测试3测试4测试5测试环境最后前言最近因为摸鱼摸得不像话,以及测试hall器件的方案理得不清楚,被小导师连着骂了好几顿。痛定思痛,多刷几篇SOT-hall器件的测试的文章看看。下面这两篇挺典型的,对器件测得非常细致。[Cao, Y., Ru

2021-07-20 11:08:50 1522 1

原创 MRAM学习笔记——3.SOT-MTJ SPICE model解析

LLG方程[Wang, M., Cai, W., Zhu, D., Wang, Z., Kan, J., Zhao, Z., Cao, K., Wang, Z., Zhang, Y., Zhang, T., Park, C., Wang, J. P., Fert, A., & Zhao, W. (2018). Field-free switching of a perpendicular magnetic tunnel junction through the interplay of spi.

2021-07-16 23:13:06 2465 2

原创 MRAM学习笔记——2.VGSOT

[Wu, Y. C., Garello, K., Kim, W., Gupta, M., Perumkunnil, M., Kateel, V., Couet, S., Rao, S., Beek, S. Van, Sethu, K. K. V., Yasin, F., Crotti, D., & Kar, G. S. (n.d.). Voltage-Gate Assisted Spin-Orbit Torque Magnetic Random Access Memory for High-Dens

2021-07-16 10:44:35 1945 8

原创 MRAM学习笔记——1.VCMA介绍

留下些自己总结的文字笔记,文献看完之后再咀嚼咀嚼,以后写大论文也好找素材。目录背景:STT-MRAM,SOT-MRAM的不足VCMA的工作原理VCMA效应公式VCMA SPICE modelVCMA仿真性能总结背景:STT-MRAM,SOT-MRAM的不足STT-MRAM 由于其读写路径不分离,而写电流通常为几十甚至几百uA量级,故而绝缘层的焦耳热积累比较严重,使得endurance不够出色,功耗也较大;SOT-MRAM实现了读写分离;当对底层重金属注入电荷流,基于自旋霍尔效应(SHE)会产生一个自

2021-07-15 16:44:48 3102 7

原创 Linux虚拟机用wine安装仿真软件SRIM (避免windows里每次打开SRIM都要更改区域/语言并重启)

目录一. SRIM二. windows下使用SRIM的兼容性问题三. 操作步骤1.安装wine2.配置wine,建立盘文件夹3.将解压后的SRIM 2013程序文件夹用Xshell或共享文件夹复制到linux虚拟机中4.用wine执行SRIM-Setup文件夹中的**MSVBvm.exe**5.在 “**home/xxx/.wine/drive_c/windows/syswow64**” 下search "**OCX**" 发现**MSGFLXGRD.ocx**的ocx没有大写,改为"**OCX*

2021-04-20 18:50:45 5067 1

原创 HSPICE物理模型仿真——添加variation时利用seed产生伪随机分布

写的verilogA模型,对某个参数加了随机分布,但是仿出来每次的结果都完全一样,查了查,又问了问师兄室友,改了下seed就好了,仿出来结果就不一样了。verilog-A中seed的含义a=$ random(seed1)% 16,b=$ random(seed2) %16是不是可以理解为一种基准?答:seed 是种子,也可以简单理解是伪随机数的初值。如果不用seed, 你的统计仿真就不可重复了。用计算机做系统仿真最重要的要求就是结果具有可重复性,哪怕是带有随机数的仿真。所以运用seed很好

2020-12-14 22:36:00 1125 4

原创 物理建模仿真利器—Simscape language physics model debug log

文章目录1 .常见bug2 variable数据导出及explorer3.ssc—>subsystem—>mask第一次使用Simscape language建自定义scc,搞了一下午debug,摸流程,终于跑通了。这里记录下。1 .常见bug单位调整。全部置为1,再在关键的variable在equation中乘上1*unit(A/V/Ohm)这是我研究出来的最绝妙的办法,simscape有个比较烦的地方在于,他要你把每个parameters variables inputs o

2020-12-07 23:17:52 1266 1

原创 Matlab的物理建模仿真利器——Simscape及其编程语言Simscape language学习资源汇总

Simscape学习资源目录0.前言1.语法&操作flow2.官方simscape language examples3.manual文档资源4.视频教程0.前言最近做spice电路仿真,想加一些大规模的stimuli(比如 输入一张图片所有像素点的的RGB值,经过DA,在物理电学模型中存算,再输出处理后的新图片),在cadence和hspice里都不是很方便。想要找个既能搭建物理模型,又能导入大规模的stimuli的仿真工具,有点类似hspice/spectre+matlab的意思,找了一圈下

2020-12-02 14:12:45 8059 5

转载 Matlab复习手记:1.点乘和乘的区别

https://blog.csdn.net/xxxxppppp/article/details/87866213简单的知识点,讲得很清楚了。点乘就是 每个元素逐点运算,这就要求两个矩阵行列数相同;单纯的乘就是矩阵乘运算了。如下:A=[1 2 3;4 5 6;7 8 9];B=[1;2;3];C=A*BC =143250但是,如果将A.*B的话就会报错C=A.*B.*错误使用矩阵维度必须一致所以,如果要做点乘运算,必须保证A,B矩阵的维度相同,即两矩阵的行列必须相等A=[

2020-08-18 10:22:42 1295

原创 第一次面试经历:集创北方数字IC前端面试(崩)总结

时间:2020年8月11日上午10点公司:集创北方,做LED、LCD、OLED、AMOLED等显示器芯片的公司,应该主要是做显示驱动、图像处理、电源管理等这一块。岗位:数字IC前端设计城市:北京形式:线上面试。感觉我俩是两个社畜,他说摄像头开不开都可以,我说那语音OK吗他说OK,于是直接语音问答。项目经历:基于FPGA的车牌识别 & 先导存储器集成工艺开发(课题组) & 基于FPGA的贪吃蛇小游戏反正是凉凉的,这时候准备还不足,前面都在复习项目没怎么刷过题。过程如下:自我介绍

2020-08-11 12:23:40 6156 5

原创 sdram_ov5640_lcd图像捕获&传输&存储思路

车牌识别总体思路目前存在的车牌识别方法有:基于纹理特征分析的识别方法基于形态特征的识别方法基于小波分析的识别方法和基于图像彩色信息的识别方法等等车牌的识别分为五个步骤:车牌图像的获取,采用OV5640摄像头采集车牌原始图像;对车牌图像进行预处理,将采集到的车牌图像进行灰度化处理、边缘检测以及腐蚀膨胀操作;车牌定位,对处理后的车牌图像进行扫描和列扫描,确定车牌的上下...

2020-08-10 09:22:15 1561 2

原创 小梅哥实战指南复习笔记第3章:FPGA基本数字逻辑设计

3.4 BCD计数器/******************************p142 3.4.2 级联BCD码计数器********************************/module BCDcnt(clk,rst_n,cin,cout,cnt);input clk,rst_n,cin;output reg cout;output reg [3:0] cnt;wire end_cnt,add_

2020-07-23 15:29:49 539

转载 夏宇闻复习笔记第16章:I2C串行总线读写EEPROM

16.1 I2C总线

2020-07-21 11:04:20 548

原创 夏宇闻复习笔记第15章:序列检测&并转串时序逻辑实例

序列检测1101序列检测/******************************p215 15.2 1101序列检测********************************//*----------------------------------Filename: squence_detector.vFunction: 检测序列1101(可重叠检测)Author: CoinDate: 2020-07-19 15:06:46----------------------------

2020-07-20 22:40:15 448

原创 夏宇闻复习笔记第13章:设计可综合状态机的指导原则

文章目录case中default设置的问题p179 13.1 宇宙飞船控制器p182 13.3 4数据大小比较后排序(task使用)p180 13.4 锁存器误生成的示例p182 13.2 计算器组合逻辑p182 13.3 4数据大小比较后排序(task使用)p183 13.5 3-8译码器组合逻辑p183 13.6 8-3编码器组合逻辑p186 13.9 三态门p186 13.10 三态双向驱动器p187 13.11 时钟正边沿触发器&时钟正电平敏感锁存器case中default设置的问题p

2020-07-19 21:54:40 429

原创 夏宇闻复习笔记第12章:同步状态机设计

12.1 moore机&mealy机mealy机输出信号=G(当前状态,输入信号)moore机输出信号=G(当前状态)/******************************p168 12.1 三段式状态机实例********************************/module StateMachine0(rst_n,clk,A,k);input rst_n,clk;input A;reg

2020-07-18 17:26:16 267

原创 夏宇闻复习笔记第10章:简单的组合逻辑模块&加法器&乘法器

10.1 加法器10.2 乘法器10.3 比较器10.4 多路器10.5 总线操作

2020-07-18 15:22:54 1465

原创 夏宇闻复习笔记第5章:条件语句&循环语句&块语句&生成语句

5.1 条件语句(if-else)只能在initial、always引导的begin-end的过程块语句中使用5.2 case语句case语句在always块中也常用于组合逻辑,这时更好使用阻塞赋值5.5 循环语句1. forever语句必须写在initial块的begin-end结构里2. repeat语句3. while语句4. for语句...

2020-07-18 00:22:00 564

原创 夏宇闻复习笔记第9章:Verilog的不同抽象级别&验证初步

9.1 门级描述9.2 行为描述建模

2020-07-17 23:08:10 294

原创 夏宇闻复习笔记第8章:语法基础例题

2020-07-16 22:53:08 446 1

原创 夏宇闻复习笔记第7章:调试用系统任务&常用编译预处理语句

7.1 $monitor7.2 $time & $realtime7.3 $finish结束仿真过程,返回主操作界面7.4 $stop暂停仿真7.5 $readmemb & $readmemh从文件中读取数据到存储器$readmemb:二进制数据$readmemh:十六进制数据/******************************p103 7.3 $readmemb初始化存储器********************************/module

2020-07-15 10:36:24 171

原创 夏宇闻复习笔记第6章:结构语句&系统任务&函数语句&显示系统任务

文章目录6.1 结构说明语句6.2 task&function6.2.1 task6.2.2 function6.4 系统任务6.4.1 $display $write 显示6.4.2 $fopen $fclose 文件打开关闭 $fdisplay $fmonitor 写文件6.4.3 %m 显示层次6.1 结构说明语句/******************************p81 6.8 @(*) 把语句块中的所有输入变量都并入敏感列表******************

2020-07-14 19:14:42 224

原创 夏宇闻复习笔记第4章:运算符、赋值语句&结构说明语句

文章目录4.1 逻辑运算符4.5 位拼接4.9 赋值语句&块语句4.9.1 阻塞赋值&非阻塞赋值4.9.2 块语句4.1 逻辑运算符与 &&或 ||非 !4.5 位拼接4.9 赋值语句&块语句4.9.1 阻塞赋值&非阻塞赋值阻塞赋值 =赋值就地执行,立即赋值非阻塞赋值 <=赋值语句在always块结束后才执行,回过神来再赋值用always块描述组合逻辑时,应采用阻塞赋值;涉及到时序逻辑的always块,都用非阻

2020-07-12 19:26:55 159

原创 夏宇闻复习笔记第3章:模块的结构、数据类型、变量和基本运算符号

文章目录3.1 模块的结构3.1.1 模块的端口定义3.1.2 模块内容1. I/O声明2. 内部信号声明3. 功能定义(1)assign语句(2)实例原件(3)always块3.1.3 理解要点3.2 数据类型&常量&变量3.2.1 常量表示1.数字2.参数型parameter(1)parameter常规定义(2)实例引用中的参数传递(3)多层次模块中的跨模块的改变参数操作(defparam)3.2.2 变量1.wire2. reg3.memory3.3 运算符及表达式3.3.2. 逻辑操

2020-07-12 17:31:29 289

原创 数电复习(5)时序逻辑基础

1. S-R锁存器2. D锁存器

2020-07-05 00:26:44 314

原创 数电复习(4)组合逻辑实践

1. CPLDPLA :可编程逻辑阵列,组合的二级“与-或”器件,积之和,与门和或门都是可编程的。PAL:可编程阵列逻辑;或门固定,与门可编程CPLD:复杂-可编程阵列逻辑器件2. 3-8译码器电路3. 8-3编码器8-3优先级编码器4. 三态门5. MUX6. 异或门7. 奇偶校验电路8. 比较器略9. 加法器串行进位加法器先行进位加法器10. 乘法器组合乘法器P352进位链乘法器P353...

2020-07-02 22:15:56 337

原创 数电复习(3)Verilog语法初步

1. 数组定义定义存储器常用数组(内存)定义reg [wordsize : 0] array_name [0 : arraysize];例如:reg [7:0] my_memory[0:255];其中 [7:0] 是内存的宽度(位宽),而 [0:255] 则是 内存的深度(也就是有多少存储单元),其中宽度为8位,深度为256。地址0对应着数组中的0存储单元。写操作:如果要存储一个值到某个单元中去,可以这样做:my_memory[address] = data_in;读操作: 而如

2020-07-01 22:58:02 519

原创 数电复习(2)组合逻辑卡诺图设计

1. 基本逻辑门A+BC=(A+B)·(A+C) 利用此公式可得 A+A’B=A+BA·(A+B)=AAB+A’C+BC=AB+A’C即:若两个乘积项中分别包含 A和A’两个因子,而这两个乘积项的其余因子组成第三个乘积项时, 则第三个乘积项是多余的, 可以消去。2. 卡诺图(1)画卡诺图采用的编码是格雷码(2) A+A=A即圈起来的可以有重叠的部分(3)最上与最下,最左与最右 是可以合并的(4)0比较少时可以合并0得到Y’。3. 冒险...

2020-07-01 20:50:31 1697

原创 数电复习(1)数制和编码&基本CMOS逻辑门

1 补码1.1 补码表示法1.2 补码加减法2. 基本CMOS逻辑门2.1 CMOS逻辑门2.2 三态门2.3 传输门

2020-07-01 15:20:32 209

原创 IC设计经典题目(1)异步FIFO设计

读了Cummings的论文,对FIFO设计有了一定的掌握,在此进行总结梳理,以便日后复习。文章目录1.FIFO的概念2.什么情况下用 FIFO?3.同步/异步FIFO4.FIFO的常见参数5.二进制码空满检测6.格雷码空满检测6.1 二进制和格雷码的相互转换6.1.1 二进制到格雷码6.1.2 格雷码到二进制6.2 格雷码空满检测逻辑7. 跨时域比较的同步化操作8. 代码与仿真8.1 单module式8.2 多module式9. 参考声明1.FIFO的概念FIFO 是英文 First In First

2020-06-29 18:35:33 1056

转载 每天10道数字前端笔试题(2)

转载自https://blog.csdn.net/qq_41394155/article/details/89349935?ops_request_misc=%7B%22request%5Fid%22%3A%22159169877919724846445826%22%2C%22scm%22%3A%2220140713.130102334..%22%7D&request_id=159169877919724846445826&biz_id=0&utm_medium=distribute

2020-06-12 17:00:32 979

Everything_电脑文件检索神器

Everything_电脑文件检索神器,办公好帮手,实战神器,家用电脑必备

2018-11-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除