自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(32)
  • 资源 (6)
  • 收藏
  • 关注

原创 2014小硕求职笔记之VIA(威盛)

注:  本文为原创, 转载请注明出处和链接。       八月末来到哈尔滨找工作,威盛来的算是比较早的,应该是九月十几号具体日期记得不是很清楚,我报的北京的 ASIC logic职位,这个职位貌似招的人比较多,宣讲会弄得比较正式,去听了一下,有一个戴眼镜的HR长的很标致,本人还偷偷照了个像 - - ,当晚就通知第二天笔试,今年威盛笔试题和往年难度差不多,对基础要求比较高,同时会出一些比较有想法

2014-12-18 20:43:59 2075 3

原创 串口收发数据时字符、十六进制、二进制格式详细区分

在使用串口调试助手时发送和接收数据都是以字节 (Byte) 为单位,并且可以选择字符、十六进制、二进制三种收发格式 ,那么这三种格式究竟怎样区分呢?         首先我们来明确一个概念 :串口收发数据的单位 '' 字节 (Byte) '' ,   1Byte = 8 bits , 串口收发数据格式一般为  1bit起始位(一般为0) + 8bits 数据位(一字节) +1bit校验位(可有

2014-08-02 11:10:35 20295

原创 Quartus II 11.0破解要点(与低版本的不同)

小序:         最近用到了黑金的altera飓风4带的开发板,套件里面带的Quartus II软件版本为11.0,之前所用版本为9.1,所以打算吧11.0版本也安装一下。没想到这个破解的过程让我属实蛋疼,步骤说明里面有所遗漏,导致我最终花了3个小时才把它搞定,在这里补充一下要点。         破解步骤中生成的 license.dat 文件中需要将 XXXXXXXXXXXX 替换

2014-07-15 21:42:43 3548

原创 基于FPGA的简易数字时钟

基于FPGA的可显示数字时钟,设计思路为自底向上,包括三个子模块:时钟模块,进制转换模块,led显示模块。所用到的FPGA晶振频率为50Mhz,首先利用它得到1hz的时钟然后然后得到时钟模块,把时钟模块输出的时、分、秒输入到进制转换模块后得到十进制的值再输入到led显示模块,该工程已经在FPGA开发板上亲测可用。

2014-07-15 09:25:53 25245 7

原创 CRC校验码的verilog实现与仿真结果

循环冗余校验码(CRC)的基本原理是:       将被处理的报文比特序列当做一个二进制多项式A(x)的系数,(任意一个由二进制位串组成的代码都可以和一个系数仅为‘0’和‘1’取值的多项式一一对应。例如:代码1010111对应的多项式为x6+x4+x2+x+1,而多项式为x5+x3+x2+x+1对应的代码101111),该系数乘以2^n(n为生成多项式g(x)中x的最高次幂)以后再除以发送方和

2014-05-22 16:27:14 24148 8

原创 华为数字芯片工程师实习生面试全过程

3月底去参加了深圳华为实习生的面试,先用几句话形容整个面试经历吧:准备很充分、过程很顺利、等待很漫长、结果很意外。 是的,最终我没有通过面试,但是还是有一些收获和感想吧,在这里分享一下。           首先说一下准备过程,大概是在3月初在华为官网投了简历,三月中旬开始准备,大概十几天时间,收到面试通知是在3月29号。在这段时间我准备的内容还是比较有针对性,根据职位得要求,我申请的职位是数

2014-05-07 11:33:55 16415 50

原创 基于FPGA的数字频率计(设计全过程)

小序:          前几天看历年全国大学生电子设计竞赛历年试题,无意间发现了一道题目《简易数字频率计》,跟之前我用verilog写的一个频率计差不多,于是想尝试做一下,题目具体要求如下图中所示,我所用的开发板为 xilinx spartan 3ES, 最后结果还算理想,在要求的范围内的频率测量精度比较高,但是由于板子的限制没能实现脉冲宽度测量等功能,但是其原理比较简单,下文中将会给出设计

2014-04-30 20:59:51 27304 4

原创 verilog编写数字频率计

一个简易的数字频率计主要由一个分频器和计数器构成 ,它的本原理就是计算 1 秒钟内被测时钟上升沿的个数即作它的频率值。   1.   分频器的作用是由 fpga 时钟得到我们想要的时钟 ,这里假设 fpga 的晶振为 50Mhz ,那么为了得到高电平为 1 秒钟的时钟信号 ,就需要对输入的 clk 进行 25000000倍分频 得到一个频率为 2Hz 占空比50 %的使能信号记为 en 。

2014-02-21 18:35:42 26296 8

原创 利用verilog将二进制码转换为十进制BCD码

小序:               先说一个 bear 的亲身体会,bear 在做一些 fpga 小设计时经常会用到数据显示功能,比如数字时钟,数字频率计,温度计,跑表等等,往往我们会选用       led 数码管来做显示, 因为它驱动起来比 lcd 液晶要简单的很多,我们知道 fpga 中寄存器在定义和储存的数据都是采用二进制的格式 ,而 fpga 输出给数码       管做显示

2014-02-20 10:54:17 59671 22

原创 【非常经典】FPGA三国志 [转载]

Altera VS Xilinx 谁更强 ?

2013-12-30 19:55:50 5633

原创 [转载] Xilinx FPGA用户约束文件

FPGA设计中的约束文件有3类:用户设计文件(.UCF文件)、网表约束文件(.NCF文件)以及物理约束文件(.PCF文件),可以完成时序约束、管 脚约束以及区域约束。3类约束文件的关系为:用户在设计输入阶段编写UCF文件,然后UCF文件和设计综合后生成NCF文件,最后再经过实现后生成PCF 文件。UCF文件是ASC 2码文件,描述了逻辑设计的约束,可以用文本编辑器和Xilinx约束文件编辑器进行编

2013-12-30 19:36:49 2769

原创 [转载]OMP StOMP 和CoSaMP

OMP StOMP 和CoSaMPOMP的伪代码:初始化:初始解为0,初始残差为观察信号,迭代次数k=0,初始支持集合为0。迭代:(Fa的转置)×残差=y           找出y中最大的分量           更新支持集合           在支持集合上求最小二乘解作为近似解           由近似解得到残差结束:达到

2013-12-24 14:10:54 3858

原创 ISE中IPcore调用之DCM

Xilinx ISE中的IP核生成器叫Core Generator ,而锁相环 PLL 所对应的 IPcore 在 ISE 中叫 DCM (digital clock management) ,它的主要功能是精准的生成由输入时钟经过相移、分频等操作得到的时钟 。下面是ISE 中调用DCM 的步骤。       1.右键自己的工程,单击 new source 后选择 IP core

2013-12-18 15:32:44 11898

原创 [转帖]单口RAM、伪双口RAM、双口RAM与FIFO的区别

FPGA设计中,常用到的数据缓存IP有FIFO和RAM,其中RAM又分单口RAM、伪双口RAM、双口RAM。              单口与双口的区别在于,单口只有一组数据线与地址线,因此读写不能同时进行。而双口有两组数据线与地址线,读写可同时进行。FIFO读写可同时进行,可以看作是双口。       双口RAM分伪双口RAM(Xilinx称为Simple two-dual RAM)

2013-12-11 18:29:03 3525

原创 串并装换器的设计及仿真结果

串/并转换是高速数据流处理的重要技巧之一。串/并转换的实现方法多种多样,根据数据的的顺序和数量要求,可以选用寄存器,双扣RAM,SRAM,FIFO,等实现。对于数量比较小的设计可以采用移位寄存器完成串/并转换。      在工程中,如何体现串/并转换的设计思想呢? 怎样才能提高系统的处理速度呢 ?      一个简单的串/并转换框架如下图所示,串行输入的数据通过FPGA内部的 n 个移

2013-12-09 14:30:28 2082

原创 [转载]I2C总线协议详解

I2C总线定义    I2C(Inter-Integrated Circuit)总线是一种由PHILIPS公司开发的两线式串行总线,用于连接微控制器及其外围设备。I2C总线产生于在80年代,最初为音频和视频设备开发,如今主要在服务器管理中使用,其中包括单个组件状态的通信。例如管理员可对各个组件进行查询,以管理系统的配置或掌握组件的功能状态,如电源和系统风扇。可随时监控内存、硬盘、网

2013-12-03 21:23:02 1910

原创 状态机的应用之序列检测器的实现与仿真

序列检测器的作用就是将一个指定的序列从一个数字码流中提取出来,本例将设计一个' 10010 ’序列检测器,data为输入的数字码流,data_in 为每过一个时钟所提取到的data中的一个值。本设计用到了一个简单的 Moore状态机,八个状态分为空闲 Idle 和k1~k7,为了方便用手绘了该状态机的状态转换图,还算比较清楚吧(- ,-) , 其中输入A对应程序中的data_in ,当状态st

2013-12-03 20:40:24 7381 1

原创 信噪比SNR , Eb/N0 , Es/N0区别与联系之深入剖析

通信方向在做仿真时经常用到信噪比这个参数,而对于不同形式的信号所用到的信噪比的形式往往不同,所以有必要信噪比这一概念做深入的区分,避免混淆。 首先明确几个符号的意义 :S:信号的平均功率                               N: 噪声的平均功率Eb: 每bit信号能量                              N0:噪声的功率谱密度E

2013-11-29 20:03:55 37194 4

原创 同步 FIFO 实列及仿真结果

FIFO设计实列

2013-11-26 13:55:25 2062

原创 [转帖] DLL与PLL同为锁相环的区别

PLL与DLL区分

2013-11-25 14:19:49 2467

原创 寄存器,锁存器,触发器,储存器 区分

寄存器 锁存器 触发器 储存器区分

2013-11-22 11:11:52 2572

原创 [转帖] FIFO 详解

FIFO:(First Input First Output,先入先出队列)这是一种传统的按序执行方法,先进入的指令先完成并引退,跟着才执行第二条指令。1.什么是FIFO?FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据,其数据地址由

2013-11-22 10:07:13 1379

原创 [转帖]FPGA经验教训杂谈

做FPGA设计的工作也有一段时间了,有过问题迎刃而解的快乐,也有过苦苦寻求结果和答案的痛苦历程.现在就把我个人曾经在项目中经常遇到的问题和犯的错误总结一下.希望对大家有启示和帮助:1)FPGA和其他电路的接口部分的时序要处理好,要考虑到信号进入FPGA之前的线路延迟.要想清楚进入FPGA的数据和时钟的相位关系.2) 若FPGA设计中,有全数字锁相环,那么要用示波器测试一下全数字锁相

2013-11-22 10:00:15 1124

转载 全球10大半导体厂商排名及简介

全球10大半导体厂商排名:1.英特尔(Intel)2.三星(Samsung)3.德州仪器(TI)4.东芝(Toshiba)5.台积电(TSMC)6.意法半导体会(ST)7.瑞萨科技(Renesas)8.海力士(Hynix)9.索尼(Sony)10.高通(Qualcomm)1.美国英特尔(Intel)公司,以生产CPU芯片闻名于世!2.三星(Sam

2013-11-07 15:33:03 4537

原创 正交匹配追踪 OMP 算法原理分析

%  1-D信号压缩传感的实现(正交匹配追踪法Orthogonal Matching Pursuit)%  测量数M>=K*log(N/K),K是稀疏度,N信号长度,可以近乎完全重构%  编程人--香港大学电子工程系 沙威  Email: [email protected]%  编程时间:2008年11月18日%  文档下载: http://www.eee.hku.hk/~wsha

2013-11-07 14:00:07 20198 7

原创 matlab 函数调用和定义的问题

函数调用是使主程序简明清晰的重要工具,在很大程度上简化了程序的复杂程度,也方便于不同程序使用相同模块的调用。下面主要介绍:函数文件+调用命令文件:需单独定义一个自定义函数的M文件这种方法很简单,定义好输入输出就可以自由调用函数。(1)定义函数     新建一个m文件 在m文件里面第一行输入function [输出值]=(任何字母)(输入变量),输入变量和输出值个数不限,根据自己

2013-10-30 11:22:07 5611

原创 [转帖] verilog开发经验杂谈

规范很重要       工作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件还是硬件),不按照规范走几乎是不可实现的。逻辑设计也是这样:如果不按规范做的话,过一个月后调试时发现有错,回头再看自己写的代码,估计很多信号功能都忘了,更不要说检错了;如果一个项目做了一半一个人走了,接班的估计得从头开始设计;如果需要在原来的版本基础上增加新功能,很可能也得从头来

2013-10-13 19:22:06 1736

原创 [转载] ARM FPGA DSP 的特点和不同

ARM(Advanced RISC Machines)是微处理器行业的一家知名企业,设计了大量高性能、廉价、耗能低的RISC处理器、相关技术及软件。ARM架构是面向低预算市场设计的第一款RISC微处理器,基本是32位单片机的行业标准,它提供一系列内核、体系扩展、微处理器和系统芯片方案,四个功能模块可供生产厂商根据不同用户的要求来配置生产。由于所有产品均采用一个通用的软件体系,所以相同的软件可在所有

2013-10-13 19:17:38 1209

原创 深入理解 ROM , RAM , FLASH

目前大多数FPGA都有内嵌的块RAM(Block RAM),可以将其灵活地配置成单端口RAM(DPRAM,Single Port RAM)、双端口RAM(DPRAM,Double Ports RAM)、伪双端口RAM(Pseudo DPRAM)、CAM(Content Addressable Memory)、FIFO等常用存储结构。FPGA中其实并没有专用的ROM硬件资源,实现ROM的思路是对RA

2013-10-11 16:52:50 1362

原创 [转帖] VGA接口简述

我们都知道 FPGA之所以功能强大,很重要的一个原因就是他的接口非常的丰富,本人了解vga接口就是从FPGA设计中开始,比如我们要做一些简单的工程类似图像的显示,复杂一点的类似视频显示都要用到VGA接口。       这里对VGA接口做一个简述,帮助对这个接口没有一个整体概念的朋友加深一定的了解不知大家有没有注意到,在使用VGA接口的时候,通常RGB三根线上都会接上各种各样大小的电阻,这是

2013-10-06 12:37:31 1726

原创 fpga驱动1602液晶显示字符

对于每个FPGA初学者而言,1602液晶是必修的一课,它较数码管的优点就是它可以显示字符,而且显得更加专业,漂亮。所谓1602指的是液晶的显示格式为16*2,即可以显示2行,16列字符。目前市场上的1602有蓝色背光和绿色背光两种,本人觉得蓝色的更加高端大气上档次。         字符型LCD1602通常有14条或者16条引脚线,多出来的两条是背光电源线VCC和地线GND,其控制原理

2013-10-06 12:21:44 6691

原创 占空比为50%的奇数分频器的设计

占空比为50%的奇数分频器设计思路              1.  首先进行上升沿触发的N模计数,计数器从零开始,到 (N-1)/2 处时钟翻转,然后经过 (N+1)/2再次翻转                   得到一个占空比非50%的输出时钟out1.              2. 同时进行下降沿触发的N模计数,计数方法同上升沿相同,然后得到一个占空比非50%的输出时钟out

2013-09-05 19:39:00 9491 2

基于FPGA的数字频率计(ISE工程)

根据全国大学生电子设计竞赛题目《简易数字频率计》的要求设计,测量范围0-1Mhz ,测量精度满足题目中要求, 所用开发板为 xilinx spartan 3ES。具体设计过程可以参考博客 。http://blog.csdn.net/li200503028

2014-04-30

深入浅出玩转fpga.pdf

吴厚航 《深入浅出玩转fpga》完整PDF版

2013-12-10

CLEAN算法matlab代码

CLEAN算法实现超宽带信道估计 的matlab仿真全代码,保过若干个M文件以及mat数据文件,使用时需先load格式为mat的数据文件。

2013-11-07

硕士论文开题报告标准版(哈尔滨工业大学)

标准的硕士论文开题报告 作者论文方向为压缩感知理论框架下的超宽带通信系统

2013-10-13

fpga驱动1602显示字符

verilog 语言写1602的驱动工程,编译下载成功,可以实现显示字符。

2013-09-05

ISE仿真经典教程

ISE 开发入门 很好的教程 他不但给出了设计的详细流程 而且对ISE自带仿真器ISIM 做了很好的介绍

2013-08-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除