自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(26)
  • 资源 (8)
  • 收藏
  • 关注

原创 基于ZYNQ7020芯片(黑金开发板AX7020)之AXI-FULL DDR的PL与PS交互操作。

在该工程中我们使用了PS端的串口接收功能,PS端串口接收和发送分别有一个64字节的FIFO,但是因为串口接收阈值设置为16,所以固定接收16个字节数据后才会发生中断。此测试工程得到以前知识的总结和各大网友的支持,只希望可以为学习的你更进一步,大家一起学习才是真的开心。加油,国产芯片之路。

2023-12-16 12:29:10 1463

原创 关于FPGA top.v的例化,基于XILINX ZYNQ MPSOC ZU2CG开发板

FPGA top.v的例化设计

2023-10-05 09:52:18 332

原创 【无标题】ZYNQ SOC-内核源代码编译生成日期格式化的处理

kernel系统启动时就可以打印自己想要的格式了AA2_Release_date。

2023-09-09 10:50:01 284

原创 XILINX ZYNQ MPSOC ZU2CG ARM64 的多核启动流程分析

目前比较主流的多核启动方式是 PSCI,一般正式的产品都有 ATF。通过 PSCI 可以实现 CPU 的开启关闭以及挂起等操作。在实际的移植工作过程中,如果有带有 ATF 的 bootloader, 那多核移植就相对容易很多,如果没有的话,也可以采用 spin_table 的方式来启动多核。加油,多学习,知已知彼。

2023-06-11 17:06:13 712

原创 【无标题】关于ARM64无法 VFS: Unable to mount root fs on unknown-block(179,2)第二个分区总是无法MOUNT的问题

我们在开发ARM64的芯片组,比如XILINX ZYNQ ZCU111或是其它。![在这里插入图片描述](https://img-blog.csdnimg.cn/1bcb47708df34dffbf74159c5c2417e0.jpeg#pic_center)会遇到第二个分区总是无法MOUNT的问题,但是又有设备节点。

2023-05-28 09:59:31 548

原创 ZYNQ MPSOC ZU2CG/ZU3EG/ZU4 U-BOOT流程(1)

UBOOT开发启动流程,针对MPSOC的

2023-03-31 14:32:40 645 1

原创 蓝迪哥教你:嵌入式linux内核裁剪的具体过程和方法

嵌入式linux内核裁剪的具体过程和方法

2023-03-12 10:16:38 1372

原创 在ZYNQ上实现,vivado2018与modelsim的联合仿真

我们开发FPGA程序,首先从思路上要跳出嵌入式的思维,它是一个RTL的多并发的硬件,所以不能用简单的LED或是串口来调试。必须要认认真真一丝不苟的做好仿真的学习和使用。仿真有两种:1.VIVADO自带的仿真。2.MODELSIM联合VIVADO仿真。接下来,由我给大家作一下XILINX VIVADO与Modelsim的仿真练习:**

2023-02-12 10:58:39 694

原创 ZYNQ7020文件系统定制设计

遇到此问题执行:sudo apt-get install --no-install-recommends xserver-xorg-core xserver-xorg-input-all xserver-xorg-video-fbdev 此命令。(文件系统用的Koheron提供的ubuntu16.04,将镜像文件解压后可以直接使用文件系统)关于文件系统,自己在做阿里巴巴项目中应用比较多,难度3颗星,主要还是要清楚ZYNQ的异构方式,可以下ARM版本或是ARM64的,ZYNQ是ARM版本。

2023-02-02 08:45:57 440

原创 RTL是什么,Verilog的语法能不能看我的这一篇大致知道。

Verilog HDL是一种硬件描述语言(HDL:Hardware Description Language),以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。Verilog HDL和VHDL是世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发。两种HDL均为IEEE标准。

2023-01-11 17:04:52 16834 3

原创 ZYNQ 7020内核kernel源码解析

ZYNQ 7020内核kernel源码解析

2022-12-01 11:17:59 794

原创 嵌入式--所有电机控制的FOC的总结2

SVPWM的主要思想是以三相对称正弦波电压供电时三相对称电动机定子磁链圆为参考标准,通过三相逆变器的不同开关模式对应的不同空间矢量的相互作用,使之合成实际的磁链矢量,并使合成的实际磁链矢量终点的运动轨迹近似的逼近其理想的磁链圆,从而带动电机转动,如下图所示。每个扇区分别对应两个基本矢量和一个零矢量,通过三相逆变器的不同开关状态来产成相应的PWM波形,通过三相PWM导通的不同顺序所产生的高低电平持续时间,来表示对应的不同的空间矢量,如上图所示。再定义,若U1>0 ,则 A=1,否则 A=0;

2022-10-25 17:33:54 1057

原创 芯片设计产业链的一些理解

1、晶圆厂foundry专门从事半导体晶圆制造生产,接受其他无晶圆厂设计公司委托,制造芯片,是整个微电子行业的基础。如TSMC(台积电)、global foundry、UMC、中芯等。2、无晶圆设计公司fablessFabrication less的组合 是指没有制造业务,只专注于芯片设计的公司,如博通、高通、英伟达、AMD、华为海思、紫光等。3、EDA软件公司自动化软件生产厂商,为集成电路设计提供软件支持。大部分被EDA三大巨头垄断,国内的厂商为华大九天。4、设计服务公司design serv

2022-10-18 15:26:57 826

原创 ZYNQ 7020 FIFO讲解。

根据 FIFO 工作的时钟域,可以将 FIFO 分为同步 FIFO 和异步 FIFO。同步 FIFO 是指读时钟和写时钟为同一个时钟,在时钟沿来临时同时发生读写操作。异步 FIFO 是指读写时钟不一致,读写时钟是互相独立的。Xilinx 的 FIFO IP 核可以被配置为同步 FIFO 或异步 FIFO,其信号框图如下图所示。从图中可以了解到,当被配置为同步 FIFO 时,只使用 wr_clk,所有的输入输出信号都同步于 wr_clk 信号。

2022-10-11 09:10:44 1418

原创 嵌入式--所有电机控制的FOC的总结

。第一节:背景知识第二节:永磁电机的FOC和SVPWM第三节:死区。

2022-10-05 18:00:52 2455

原创 KV260 FPGA工程开发流程及源码

KV260 , FPGA源码

2022-09-08 15:19:27 417

原创 DEB打包,LINUX

DEB打包,LINUX

2022-08-29 09:07:30 542

原创 把linux系统命令返回给应用

把ZYNQ linux系统命令返回给应用

2022-08-08 09:17:40 144

原创 赖大师新文章 :Xilinx 开箱-KV260相机,两个小时轻松搞定,文章不能用我坐飞机过去帮你调哈。

Xilinx 开箱-KV260+相机

2022-06-19 14:40:21 1249 11

原创 交叉编译链的安装步骤--FOR ZYNQ 源码架构

ZYNQ GCC交叉编译链的安装步骤

2022-05-11 09:40:43 320

原创 zynq 7020关于如何清除flash的内容

zynq 7020如何清除flash的内容,setenv

2022-05-09 11:10:09 1953

原创 赖大师告诉你:如何把 Qt 5.2.1 编译到zynq

如何把 Qt 5.2.1 编译到zynq

2022-02-25 13:36:06 1341 2

原创 拔开云雾的linux网络

拔开云雾的linux网络

2022-02-21 15:29:45 308

原创 USB能接几个外设

USB能接几个外设

2021-12-10 15:18:28 4045

原创 verilog定义一个1Khz的闪灯程序

每一个always都会有一个end,同时也定义了启动条件,比如posedge clk50m(posedge 表示THR的上升沿到来时)///landy(mark_debug = “true”) reg [31:0] cnt; //定义一个计数器计时localparam F_K_1 = 50_000;reg [15:0] cnt_1k;(mark_debug = “true”)reg clk_1khz;always@(posedge clk50m)beginif(rs

2021-10-22 15:14:02 239

原创 XILINX ZYNQ MPSOC LINUX架构搭建系统升级程序(zu3eg)

经过几番改写,做了以下升级程序:#!/bin/shif [ ! -n “$1” ] || [ ! -n “$2” ];thenecho “usage:”echo " the first args is the file name (eg:rootfs_v0.1.tar.gz)"echo " the second args is the ip address of server(192.168.6.101)"echo " for example: ./upsys.sh rootfs_v0.1.ta

2021-10-22 15:09:56 1555

en.stm32cubemx-win-v6-7-0

en.stm32cubemx-win_v6-7-0 STM32CUBEMX最新6.7版本

2023-01-09

XILINX KV260开发板FPGA源码

XILINX KV260开发板FPGA源码

2022-09-08

RT-Thread配置工具

RT-Thread配置工具,主要有几个命令要用到的:menuconfig和scons --target-mdk5.

2022-09-01

xilinx zu3eg KV260源码及环境 ,使用请看我的CSDN博客。 系统密码及账号:petalinux

xilinx zu3eg KV260源码及环境,系统密码及账号:petalinux

2022-06-19

code_1.63.2-1639561157_arm64.deb

vscode ARM64 (apple M1)安装包

2021-12-29

verilog2001.uew

下载的文件verilog2001.uew放置在软件目录下wordfiles下,重启软件打开就OK了,比如D:\Program Files\IDM Computer Solutions\UltraEdit\wordfiles下。

2021-10-06

vfwcapture

vfw_capture 这是一个视频采集系统。

2012-02-17

C++ 屏幕录像简单演示

C++ 屏幕录像简单演示 avi and bitmap

2012-02-17

S3C2440上LCD驱动

S3C2440上LCD驱动: 帧缓冲(FrameBuffer)

2011-11-29

L298直流电机驱动电路图

L298直流电机驱动电路图 L298直流电机驱动电路图 L298直流电机驱动电路图L298直流电机驱动电路图

2011-11-07

shell make file

深入理解LET命令的用法,比如我们可以用+=(())等方式来实现运算符

2011-08-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除