自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 资源 (4)
  • 收藏
  • 关注

原创 GoldenGate安装以及环境配置

GoldenGate安装以及环境配置对应GoldenGate安装以及环境配置,对应以GoldenGate2017为基准;安装准备GoldenGate2017安装可以采用ADS2017的License即可;安装GoldenGate2017应配套ADS使用,否则对应使用时,GoldenGate将无法显示仿真结果;对应ADS与GoldenGate,调用的均是agileesofd的License...

2020-02-09 21:01:12 1779

lcd1602.txt

基于STM32单片机平台编写的lcd1602的驱动程序。通过该程序可以驱动3.3V的液晶LCD1602。采用并行连接方式。基于的平台为IAR。

2020-02-09

TSMC60GHz系统设计

TSMC60GHz系统设计,介绍了60GHz系统的相关仿真以及设计方式,并给出了相应的测试指标

2018-04-30

MATLAB 滤波器程序

MATLAB 滤波器 主要是各种不同的窗函数的演示 自己看吧 余弦窗 贝克曼窗等

2010-12-24

六层电梯控制器的VHDL设计

VHDL控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号 door : out std_logic_vector(1 downto 0); --电梯门控制信号 led : out std_logic_vector(6 downto 0); --电梯所在楼层显示 led_c_u:out std_logic_vector(5 downto 0); --电梯外人上升请求信号显示 led_c_d:out std_logic_vector(5 downto 0); --电梯外人下降请求信号显示

2010-12-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除