自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

我也不知道

你说是就是吧

  • 博客(41)
  • 资源 (2)
  • 收藏
  • 关注

原创 【日常】超简单的windows 10键位映射 key remap

直接入正题只要你的操作系统是Windows 10 v1903 (18362) 及以上,去https://github.com/microsoft/PowerToys 上下载安装一个PoweToys,它包含了Keyboard Manager这个功能。看bing搜索全是修改注册表,怎么微软自己不推啊。...

2022-03-03 15:46:23 1151

原创 超简单的pytorch反向传播举例

# -*- coding:utf-8 -*-# reference: https://pytorch.org/docs/stable/notes/extending.htmlimport torchfrom torch import nnfrom torch.autograd import Function, Variableimport numpy as npfrom collections import OrderedDictclass LinearFunction2(Function)

2021-02-03 00:05:36 711

原创 语音信号处理-语音究竟要浮点还是整数?MFCC又是如何变化?

语音信号处理写作原因wav文件读取wav格式读取wav文件(matlab/python)matlab audioread()python写入wav文件(matlab/python)matlab audiowrite()pythonaudition查看语音幅值写作原因知道了语音信号需要采样率和采样位数,知道了MFCC提取的整个流程,但是中间的幅值变化的细枝末节还是需要细究的,比如:matlab/python读取wav文件默认是浮点数,但是保存是整数?如果是整数进行特征提取,变化量多大?wav文件

2020-12-08 11:43:19 1704

原创 matlab命令行显示Error loading libzmq

matlab命令行显示“Error loading libzmq: 未找到支持的编译器或 SDK”解决:在https://jmeubank.github.io/tdm-gcc/download/页面下载了tdm64-gcc-9.2.0,并进行安装及将tdm-gcc中bin目录加入系统环境变量中

2020-07-27 16:02:08 717

原创 VNC连接Ubuntu出现灰屏与打不开terminal的情况

VNC出现灰屏使用dpkg -l | grep gnome/xfce查看安装的图形化桌面是哪一种,在~/.vnc/xstarup中修改启动方式。如若使用xfce桌面,使用startxfce4启动桌面。VNC打不开terminal依旧和选择的桌面有关。使用VNC连接到Ubuntu桌面后,点击Applications-Settings-Preferred Applilcations,打开Preferred Applilcations后,选择Utilities,修改Terminal Emulator,由于我

2020-07-20 09:55:10 1959

原创 python+adb游戏辅助脚本

不实用,仅作参考零、大致思路手动启动游戏界面截图(adb/minicap)计算滑动路径按键输出(adb/minitouch)一、截图可用两种方式实现adb shellminicap1.1 adb shell将手机与电脑通过usb线连接,根据手机操作启动开发者模式在adb tools download页面下载命令行工具adb,解压后使用cmd即可启动ad...

2019-10-08 17:23:59 3261

原创 【日常】网易云和sublime热键冲突

想要在sublime中进行列操作时,发现使用ctrl+alt+up/down不能用。但是耳机音乐变大变小。然后在网易云音乐中看到了,它有很多全局快捷键。将网易云的全局设置改掉。...

2019-07-01 16:02:30 480

原创 代理/ssh端口转发

代理/ssh端口转发所需软件windowsSSRchocochoco安装的opensshubuntuopenssh目的发现github用clone很慢,于是想要用代理。测试及失败原因首先我在ubuntu-18.10-desktop-amd64的终端中输入下面两条指令xxx@xxx-VirtualBox:~$ export http_proxy="socks5://[...

2019-02-25 16:04:06 2562 2

原创 【自动驾驶】comma ai openpilot docker运行

comma ai openpilot docker运行1 ubuntu中安装dockerubuntu-18.10-desktop-amd642 修改openpilot requirements_openpilot.txt注释掉头两行git+httpscryptography==1.4,注释掉版本号pyopencl==2016.1 ,注释掉版本号3 添加openpilot do...

2019-02-15 14:13:24 1737

原创 [opencv]问题集

#201811291. cv::Mat::dataMat C = cv::Mat::zeros(cv::Size(octvs, 2), CV_8UC1);C.data[0]=1;2. 应用了多个从"cv::Mat"到"的转换函数"genFeature.h, match.h等文件中,缺少"include <cv.h>“和"using namespace cv;”,属于头文...

2018-11-29 18:17:20 176

原创 InvalidArgumentError: You must feed a value for placeholder tensor 'input/

1、错误所在行summary_ = sess.run(merged_summary, feed_dict={x :batch_x, y: batch_y})writer.add_summary(summary_,i)2、错误提示InvalidArgumentError (see above for traceback): You must feed a value for placeho...

2018-11-08 21:31:34 1639

翻译 【opensmile翻译】2.3提取特征demo-编写opensmile配置文件

文档机翻openSMILE可以生成配置用于简单场景的模板。我们将使用此功能生成我们的第一个配置,能够读取波形,计算帧能量,并保存输出到CSV文件。首先,创建一个目录myconfig,它将保存你的配置文件。现在键入以下内容(不带换行符)以生成第一个配置文件:SMILExtract -cfgFileTemplate -configDflt cWaveSource,cFramer,cEnerg...

2018-10-18 16:46:36 1390 3

原创 语音特征公式与python实现

参考pyAudioAnalysis、openSmile以及语音信号处理实验教程(MATLAB源代码)1.过零率zero crossing rate每帧信号内,信号过零点的次数,体现的是频率特性2.能量energy短时能量,即每帧信号的平方和,体现的是信号能量的强弱2.1 振幅扰动度-分贝形式shimmer in DB2.2 振幅扰动度-百分数形式3. 声强/响度inten...

2018-10-17 16:38:56 5560 37

原创 numpy备忘

numpy.cumsum():按照所给定的轴参数返回元素的梯形累计和,axis=0,按照行累加。axis=1,按照列累加。axis不给定具体值,就把numpy数组当成一个一维数组。...

2018-10-15 22:11:58 118

原创 使用vs2017调试opensmile

从opensmile官网下载的包包括了vs工程,可直接用vs打开。一、使用opensmile vs工程打开工程后,vs提示升级解决方案,点击确定。或在项目-重定解决方案目标中升级将调试模式debug改为release。注意,release同样可以加断点调试。编译若直接编译,出现错误。解决方法是在openSimleLib项目中右键添加-现有项,在opensmile-2.3.0...

2018-10-12 20:04:59 2743

原创 【语音情感识别】高斯混合模型的巴氏距离python实现

M_j、M_i为高斯函数均值,∑i、∑j为协方差矩阵# -*- coding:utf-8 -*-import numpy as npimport mathdef calBhaDistanceGaussian(Mi, Mj, Sigmai, Sigmaj): Mi = np.array(Mi) Mj = np.array(Mj) Sigmai = np....

2018-09-05 21:16:14 2921

原创 【sklearn】GMM识别柏林情感语音库

参考Emotion-Recognition-from-Speechsklearn官方GMM在鸢尾花上应用实例特征及标签均根据emotion-recognition-from-speech生产的cPickle文件获得分类器的使用参考sklearn出现问题在使用estimator.fit()的过程中,出现float() argument must be a string or...

2018-08-01 19:12:48 2341 3

原创 【FPGA作业】第五章 单音信号对载波信号进行双边带幅度调制

五 单音信号对载波信号进行双边带幅度调制5.1 实验内容合成一个双边带AM调制信号,载波频率1MHz,调制信号1KHz,采样率50MHz使用Modelsim仿真,观察波形,使用Matlab观察频谱使用Signaltap抓取电路输出波形,使用Matlab观察频谱 方案描述使用两个DDS,一个DDS为1MHz,另一个为1KHz,直接相乘ROM表由Matlab生成,10bit地址...

2018-07-29 05:00:43 3423

原创 【FPGA作业】第三章、第四章 DDS正弦信号产生实验及modelsim仿真

三 DDS正弦信号产生实验3.1 实验目标设计DDS,50MHz的时钟速率,输出波形频率10MHzDDS的输出数据格式为2补码,相位累加器32比特,ROM波表尺寸10bit和波形量化比特数10bit首先在signaltap里观察波形的正确性,然后把signaltap的数据导入到matlab,分析频域结果3.2 实验原理 注意 频率控制字K与ROM位数没有关系 ...

2018-07-29 04:34:52 5864 2

原创 【FPGA作业】第二章

二 正弦信号频谱分析实验2.1 单音正弦信号采样序列的时域绘图绘制下列信号的时域图信号采样率fs=8000Hz 信号采样序列长度N=32 配置参数 f1=1000; Amp1=1; phy1=0 f2=7000; Amp2=1; phy2=0 f3=9000; Amp3=1; phy3=02.1.1 matlab程序主程序,包括参数设置lab1.m% ...

2018-07-29 04:06:35 576

原创 【FPGA作业】第一章 定点计数实验

一 定点计数实验1.1 实验原理由于芯片成本和计算速度的限制,整数定点格式在实际中应用更加广泛。在计算机系统中, 最常用的是整数运算是加法和减法, 其次是乘法,最复杂的整数计算是除法。 在正整数乘加运算的过程中,加法会导致字长比最大加数的字长增加一位,而乘法结果的字长是两个乘数字长之和。 对于2补码整数来说,整数的加减法可以统一成2补码的符号取反和加法运算。 计算机系统表示小数点...

2018-07-29 03:37:25 355

原创 nios terminal问题

Q: nios terminal中,如果出现如while(1){printf(“helloworld”);}这样的代码,打印运行一段时间之后就停止打印了呢?而且开发板断电后再打开,也不能再打印到屏幕上。nios terminal没有打印数据的时候,实验板在工作吗 A: nios如果不开启终端,还持续打印的话,处理器缓冲器满了就会死机。你用nios print,他是会向cpu缓冲器生成字符的。如果...

2018-06-20 10:18:04 522

原创 nios2-terminal空白

$ nios2-terminal.exe nios2-terminal: connected to hardware target using JTAG UART on cable nios2-terminal: “DE-SoC [USB-1]”, device 2, instance 0 nios2-terminal: (Use the IDE stop button or Ctrl-C ...

2018-06-07 21:42:24 609

原创 `onchip_memory2' overflowed by 5184 bytes

Info: Linking prj_nios_sys.elf nios2-elf-g++ -T’../hal_bsp//linker.x’ -msys-crt0=’../hal_bsp//obj/HAL/src/crt0.o’ -msys-lib=hal_bsp -L../hal_bsp/ -msmallc -Wl,-Map=prj_nios_sys.map -Os -g -Wall ...

2018-05-31 22:57:40 1487

原创 make[1]: *** [public.mk] Error 1

regenerate bsp

2018-05-31 16:53:01 11649

原创 alt_main.c:165: undefined reference to `main'

nios软件编译中出现了一个问题 alt_main.c:165: undefined reference to `main’ 应该是./create-ths-app有错

2018-05-31 16:51:58 3928 1

原创 de1-soc培训教材记录

第一章 准备1.1 安装软件《Altera De1-SoC培训教材》中的环境quartus 13.1embedded command shell 13.1我所用的linux是de1soc_lxde_16041.1.1下载链接 **[1] 培训教材.https://pan.baidu.com/s/1uFRa-5kuf9m_q_UyVIRS4A [2] Quartus...

2018-05-15 20:27:14 8990 8

转载 【解决】sopc-create-header-files:command not found

转自:http://www.myfpga.org/discuz/forum.php?mod=viewthread&tid=193271de1-soc运行 ./generate_hps_qsys_header.sh生成hps的头文件,获取Qsys中组件属性信息,运行Altera SoC EDS command shell,通过命令cd定位到Quartus II工程文件夹根目录。输入./gen...

2018-05-06 15:20:08 1431 1

原创 【解决】make: *** [uboot-socfpga/.applypatch.add_fms_extensions_cflag] Error 1

问题描述:de1-soc生成preloader image file过程中,已生成bsp preloader source code,使用make编译preloader的elf和binary文件中出错环境:embeded command tool 13.1,cygwin 2.0问题具体tar zxf/cygdrive/d/altera/13.1/embedded/host_tools/altera...

2018-05-03 22:24:02 1278 2

原创 【解决】find_fast_cwd: WARNING: Couldn't compute FAST_CWD pointer

DE1-SOC 使用embedded command shell 13.1出现warning:find_fast_cwd: WARNING: Couldn't compute FAST_CWD pointer解决:13.1的cygwin版本为1.7,更新为2.0。我是直接从embedded command shell 16.0中直接复制了cygwin2.0文件夹到~\altera\13.1\emb...

2018-05-03 11:05:01 15613 5

转载 quartus错误:Internal Error: Sub-system: QTK

Internal Error: Sub-system: QTK, File: /quartus/db/qtk/qtk_db_util.cpp, Line: 1088niter != end_niterQuartus II Version 9.1 Build 222 10/21/2009 SJ Full Version解决方法:删除工程文件夹下db和incremental_db文件夹参考:http:...

2018-04-16 21:16:51 6415 1

原创 FPGA 电路开发入门实验

FPGA 电路开发入门实验第0章:基础知识如图1,本实验使用实验板为altera DE0,板子型号为Cyclone Ⅲ, EP3C16F484C6,编译软件为QuartusⅡ9.0。图 1 Quartus实验板设置0.1 常用管脚实验板中常用管脚有50MHz时钟、拨码开关、按钮、LED和八段数码管等。表格 1 时钟、拨码开关、按钮、LED管脚分布

2018-01-22 21:38:51 1995 2

转载 VS2017控制台程序显示中文为乱码的问题解决办法

转载 http://www.cjjjs.com/paper/xmkf/2017105195331208.html首先查看各个部分的编码情况1、使用notepad++打开相关cpp文件,查看文件编码格式。vs默认为utf-8 2、代码内编码为unicode 3、控制台编码为gb2312解决方案:把cpp文件的编码格式改为gb2312,可在notepad++里改,或在vs2017里改。vs2017

2017-11-26 22:15:12 8197

原创 通信原理作业问题

1 使用matlab simulink buffererrorBlock ‘ofdm_fdm/Buffer’ uses the frame status of the signal. Update your model to move control of sample-based and frame-based processing from the frame status of the sig

2017-11-23 21:05:20 1349 1

原创 信号与系统杂七杂八的东西

为什么叫做零阶保持器:因为在每一个采样区间内连续的阶梯信号的值均为常值,亦即其一阶导数为零,故称为零阶保持器

2017-11-15 22:42:55 239

原创 数据结构C++作业

#include <iostream>#include <queue>#include <map>#include <climits> // for CHAR_BIT,<climits>头文件定义的符号常量,256 bits#include <iterator>#include <algorithm>const int UniqueSymbols = 1 << CHAR_BIT;cons

2017-11-14 12:14:20 408

原创 FPGA作业二

FPGA作业二

2017-10-29 17:25:45 554 6

原创 数字万用表电流数值持续增加

今日助教电路实验基础被师妹问到的问题。 问题:处于电流档的万用表,在测量电流值时,末尾值持续缓慢增加。 答案:问了老师得知,这是由于万用表采样而带来的不能消除的误差。万用表的工作原理包括了模数转换。

2017-10-26 20:55:23 1226

原创 FPGA 作业一

高速EDA设计 作业一实验前注意事项实验流程新建bdf文件画出电路图编译,编译后才能在node finder中找到相应管脚 新建vwf文件,先用node finder添加需要观察的信号,然后设置激励观察波形node finder使用打开vwf文件后,在信号导航栏右击鼠标,选择insert->insert node or bus确认原理图编译完成后,点击node finder中的list

2017-10-24 11:32:37 922

原创 C++引用segment fault

数据结构课程的c++测试题,引用的知识点。 设计一个classifier()函数。int main(){ int tnum(0),tcap(0),tlow(0); cout << "input: " << endl; char ch; cin >> ch; while(ch!='#') { classifier(ch, tnum,

2017-10-07 22:12:16 628

描述语音的声学特征

描述语音的声学特征。包括各种低层时频特征和全局声学特征

2018-10-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除