自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(27)
  • 资源 (1)
  • 收藏
  • 关注

原创 verilog的延时语句

延时语句有两种情况,如下:#10 a = b; //延时10ns后,在执行a=b;a = #10 b; //延时10ns后,将当前时刻的b值赋给a,即,先将要更新的值记录下来,延时10ns后,在赋给a;测试用例如下所示:`timescale 1ns/1psmodule tb_test_delay(); reg[3:0] x,y,z; reg[3:0] a; initial begin a = 0; //0时刻 a为0 #2

2022-04-19 19:47:28 3786

原创 SDRAM 基本概念

假设,当前操作的是第一行,现在需要关闭第一行,去访问第二行中的某个地址了,在关闭第一行之前,必须对第一行进行一个刷新操作,这个关闭之前的刷新操作就叫预充电。之所以又这样的操作,是因为,对第一行进行操作之后,特别是读操作之后,控制电容导通的开关曾经打开过,电容的电量被消耗过(特指充满电的电容),已经无法保证:在下次自动刷新之前依然保持原有状态。因此需要关闭之前,对第一行进行一次刷新操作,对原来满电状态的电容进行一次充电,以保证该电容电量才下次刷新操作到来之前,能够维持在阈值之上。...

2020-08-15 22:40:09 445

转载 三极管工作原理 大白话

本文转自:https://blog.csdn.net/u011400634/article/details/80662700?utm_medium=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-1.edu_weight&depth_1-utm_source=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-1.edu_

2020-07-28 22:18:21 861 1

原创 VHDL仿真文件模版

library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity tb_led is-- Port ( ); --不用填end tb_led;architecture Behavioral of tb_led iscomponent key_led --声明待测试模块port( sys_clk:in std_logic; sys_rst_n:in std_logic ; key: in std_logic_vect...

2020-05-31 12:12:39 2563

转载 以太网自动协商原理

转载自:http://www.360doc.com/content/15/1008/16/18252487_504184984.shtml

2020-04-23 21:20:20 436

转载 W5300和W5100对比

原文链接:https://www.iwiznet.cn/2012/12/24/w5300%E4%B8%8Ew5100%E5%B7%AE%E5%BC%82%E5%AF%B9%E6%AF%94/由于W5300是基于W5100的TCP/IP内核,因此它继承了W5100的基本功能。W5100与W5300最主要的区别是数据总线。W5100支持8位的数据总线,而W5300不但支持8位,而且支持16位数据总...

2019-12-30 11:45:43 1884

转载 晶振原理

晶振是石英晶体谐振器(quartzcrystal oscillator)的简称,也称有源晶振,它能够产生中央处理器(CPU)执行指令所必须的时钟频率信号,CPU一切指令的执行都是建立在这个基础上的,时钟信号频率越高,通常CPU的运行速度也就越快。只要是包含CPU的电子产品,都至少包含一个时钟源,就算外面看不到实际的振荡电路,也是在芯片内部被集成,它被称为电路系统的心脏。如下图所示的有源晶振...

2019-09-19 14:07:51 283

转载 stm32f4 adc 使用

转载自:https://www.cnblogs.com/firege/p/5805876.htmlstm32相关文章可以参考上面网址第30章 ADC—电压采集全套200集视频教程和1000页PDF教程请到秉火论坛下载:www.firebbs.cn野火视频教程优酷观看网址:http://i.youku.com/firege本章参考资料:《STM32F4xx中文...

2019-04-06 16:59:40 8906 3

原创 有用网址

找芯片手册http://www.mouser.cn/https://www.alldatasheet.com/https://www.eciaauthorized.com/zh-Hans ECIAhttp://www.datasheetq.com/stm32博客https://www.cnblogs.com/firege/category/864466.html...

2019-01-03 15:02:04 201

转载 USB接口类型

转自:http://www.sohu.com/a/196728576_468626A型USB插头(plug)和A型USB插座(receptacle)引脚顺序(左侧为Plug,右侧为Receptacle):引脚定义:封装尺寸(单PIN Receptacle):B型USB插头(plug)和B型USB插座(receptacle)引脚顺序(左侧为Plug,右侧为...

2018-12-21 16:10:25 4751

原创 DDR SDRAM随路时钟

DDR SDRAM和SDR SDRAM的主要区别为(1)时钟的差分对线(2)电平标准为SSTL_25,(3)增加了随路时钟DQS。DQS的增加主要因为DDR速度的提高,使得时钟信号和数据信号的对齐越来越难。在sdram中,clk和DQ是中心线对齐原则,在速度提高之后,这种对齐因为各种原因(PCB线的延时,电磁兼容)越来越难做到,因此加了一路随路时钟DQS,DQS在走线时和DQ遇到的环境是一样的...

2018-11-25 12:37:05 3439

转载 开关电源:

开关电源:http://www.dianyuan.com/article/41852.html

2018-11-16 21:18:42 607

转载 usb2.0概述

转自:https://blog.csdn.net/k331922164/article/details/53349360USB发展到现在,主要流行1.1、2.0、3.0这几个版本,而3.1暂时只有少量笔记本在用。主流版本区别:USB1.1,支持全速12Mbps和低速1.5Mbps。USB2.0,兼容1.1,增加了高速480Mbps。USB3.0,兼容2.0,增加了超速5Gbps...

2018-10-22 16:27:27 1130

转载 vs2010下配置pthread环境详解

转自:https://blog.csdn.net/hsd2012/article/details/509302361.下载pthread 的windows安装包可以从官方网站上下载,网址:http://sourceware.org/pthreads-win32也可以下载我上传的资源,以下将以我上传的资源来讲解。(下载)下载之后解压,放置在C盘如下图:2.配置VS2010...

2018-10-09 15:31:06 403

转载 BMP格式详解

转自:https://www.cnblogs.com/wainiwann/p/7086844.htmlBMP格式详解BMP文件格式详解(BMP file format)BMP文件格式,又称为Bitmap(位图)或是DIB(Device-Independent Device,设备无关位图),是Windows系统中广泛使用的图像文件格式。由于它可以不作任何变换地保存图像像素域的数据,因此成...

2018-09-28 15:08:33 438

转载 高速USB设计PCB布线要求

转自: www.usb.org.3 Layout Guidelines3.1 General Routing and PlacementUse the following general routing and placement guidelines when laying out a new design. Theseguidelines will help to minimize...

2018-09-04 15:13:01 2370

转载 USB3.0  Type-c 拆解

转自:http://www.chongdiantou.com/wp/archives/6341.html新出的手机大部分都是Type-c,预计接下来是Type-c大热,线材当然要跟上,Type-c的优点不分正反,可以过数据影视频,最大20V5A  100W的电流能力Type-c又分很多种,对于四线的USB2.0数据拷贝会收到限制,USB-3.0的 type-C 3.1充电的同时可以提供高速数...

2018-09-04 09:26:45 17904

转载 SATA接口定义

转自:https://blog.csdn.net/u013000139/article/details/50371568SATA数据接口(7针)电源接口(15针)定义详解SATA是Serial ATA(Serial Advanced Technology Attachment)亦称串行ATA,是由Intel、IBM、Dell、APT、Maxtor和Seagate公司共同提出的硬盘接口规范。...

2018-08-29 16:18:31 36058

原创 usb接口大全

 USB A-TypeUSB B-TypeUSB C-TypeMicro-USB AMicro-USB BUSB Mini-b (5-pin)USB Mini-b (4-pin)USB 3.0 A-TypeUSB 3.0 B-TypeUSB 3.0 Micro B

2018-08-28 10:36:20 844

转载 常用网站

转自:http://blog.chinaunix.net/uid-20697149-id-1891556.htmlhttp://www.chinaunix.net/ :chinaunixhttp://www.21IC           中国电子网http://www.datasheetarchive.com/  元器件手册查找http://www.c51bbs.com     单片机...

2018-08-23 17:00:07 609

转载 USB 3.0、USB 3.1到底什么区别?彻底明白

 转自:http://tech.huanqiu.com/diginews/2016-06/9062788.html  十多年前,USB总线进入主流视野,提供了一个全新的存储方向,并用一个统一的标准把众多外设都全部拉入自己的阵营。这是他们为整个行业带来的巨大贡献,没有人会否认。但是推广USB的组织有着特殊的取名技巧,让人捉摸不透:十多年后的今天,进化了三个大版本的USB标准,不光...

2018-08-23 16:51:55 49941 2

转载 ASM1053E ASM1153E对比

 转自https://www.chiphell.com/thread-1586025-1-1.html市面上的SATA转USB3.0解决方案五花八门,作为消费者有时候被厂家的宣传搞得晕头转向,而一个核心主控好坏直接决定产品的性能、稳定性、功耗、价格。手头的资源正好较丰富,所以下午抽点时间把市面上比较常见的几个SATA-USB的解决方案做个简单的测试对比。也方便大家能准确的选择自己需要的产品...

2018-08-23 16:49:47 40075

转载 SPI学习

转自https://my.oschina.net/freeblues/blog/67400 1.SPI协议简介1.1.SPI协议概括  SPI,是英语Serial Peripheral interface的缩写,顾名思义就是串行外围设备接口。是Motorola首先在其MC68HCXX系列处理器上定义的。SPI接口主要应用在 EEPROM,FLASH,实时时钟,AD转换器,还有数字信...

2018-08-23 16:48:19 415

原创 组合逻辑 和 时序逻辑

数字逻辑电路可以分为两类:一类是组合逻辑电路,一类是时序逻辑电路。什么意思呢?组合逻辑电路的输出仅仅取决于该时刻的输入信号,也就是说,它前一时刻的电路状态无关,再换句话说,这种电路的输入和输出之间没有反馈,它只有从输入到输出的反馈。其次,它是无记忆电路。out只和本时刻的in有关系,这是区别组合逻辑电路和时序逻辑电路的最根本的区别。时序逻辑电路的输出不但与当前时刻的输入有关,而且和前一

2016-08-04 10:12:52 746

转载 verilog 错误10028

转载自:http://www.cnblogs.com/woshitianma/archive/2013/01/12/2858051.htmlError(10028):Can't resolve multiple constant drivers for net “ ” at **.v两个进程里都有同一个条件判断的话,会产生并行信号冲突的问题。同一个信号不允许在多个进程中

2016-08-03 14:48:45 3194

转载 always使用注意事项

本文转载在网上,后面有原文地址1. 信号的产生及always块使用注意事项    1.1 不要在不同的always块内为同一个变量赋值。即某个信号出现在          所以注意,在产生一个信号时,所有产生该信号的条件都应放在一个always块内考虑。    1.2 不要在同一个always块内同时使用阻塞赋值(=)和非阻塞赋值(    1.3

2016-08-03 14:42:10 4929

原创 verilog中关于并行处理的心得

第一次写博客,只为分享。还望各位看官不吝赐教。作为初学者,对硬件语言的并行和顺行处理搞不清楚,我也为此也费了不少功夫,解释起来也很简单,并行就是同时进行,顺行就是顺序进行,可是真要用起来,不花点功夫是不会用的。先看个简单的,网上别人举得例子,相信大家一分钟就能看懂;////////来自网上///////////初始化m=1,n=2,p=3;分别执行以下语句1、b

2016-08-02 14:34:06 10664 5

matlab官方的arrow.m

ARROW(Start,Stop) draws a line with an arrow from Start to Stop (points should be vectors of length 2 or 3, or matrices with 2 or 3 columns), and returns the graphics handle of the arrow(s). By itself, ARROW will use the mouse to allow selection.

2016-10-26

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除