自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

jeffchenbiao的记录

每天成长一小步

  • 博客(40)
  • 资源 (10)
  • 收藏
  • 关注

转载 WORD页码 页眉 分节 问题

显示/隐藏编辑标记分隔符插入——引用——索引和目录页码1.光标定位在第2页段末; 2.执行“插入/分隔符/下一页/确定”操作; 3.执行“插入/页眉和页脚”操作,激活“页眉/页脚”编辑框,同时弹出“页眉和页脚”工具条,此时光标定位在第三页页眉中; 4.单击“页眉和页脚”工具条上的“在页眉和页脚间切换”按钮,将光标切换到第三页的页脚(页脚的编辑框右上方有“与上一节相同”几个

2012-06-09 14:18:51 1467

原创 奈奎斯特

奈奎斯特图是  对于一个连续时间的线性非时变系统,将其频率响应的增益及相位以极座标的方式绘出,常在控制系统或信号处理中使用,可以用来判断一个有回授的系统是否稳定,奈奎斯特图的命名是来自贝尔实验室的电子工程师哈里·奈奎斯特。奈奎斯特图上每一点都是对应一特定频率下的频率响应,该点相对于原点的角度表示相位,而和原点之间的距离表示增益,因此奈奎斯特图将振幅及相位的波德图综合在一张图中。一般

2012-05-31 20:54:35 12139

转载 FPGA学习的好网址,迫不及待的收藏了

1. OPENCORES.ORG这里提供非常多,非常好的PLD了内核,8051内核就可以在里面找到。进入后,选择project或者由http//www.opencores.org/browse.cgi/by_category进入。对于想了解这个行业动态人可以看看它的投票调查。http://www.opencores.org/polls.cgi/listOpenCores is a loose

2012-05-31 20:36:07 1290

转载 零点_极点

http://bbs.dianyuan.com/topic/150823其实完全可以通过以下简单的观念理解:但凡是遇到了低通滤波器,那么我们可以认为存在极点。但凡遇到高通滤波器,那么我们认为存在零点。所谓的补偿让系统稳定,其实就是通过设计滤波器的方法,控制系统带宽在负反馈的区域内。 请问电路中极点与零点的产生与影响电路中经常要对零极点进行补偿,想问,零点是由

2012-05-31 20:35:08 13631

转载 泰勒级数展开

11.5 泰勒级数及其应用11.5.1 泰勒级数的定义:若函数f(x)在点的某一临域内具有直到(n+1)阶导数,则在该邻域内f(x)的n阶泰勒公式为:其中:,称为拉格朗日余项。以上函数展开式称为泰勒级数。11.5.2 泰勒级数在幂级数展开中的作用:在泰勒公式中,取,得:这个级数称为麦克劳林级数。函数f(x)的麦克劳林级数是x的幂级数,那么这种展开是唯

2012-05-14 18:47:14 3917

转载 Makefile详解

Makefile详解作为一名编程爱好者不能不了解make,对于一个开源的软件来说,读懂make文件是阅读源码的第一步。下文是我在网络上找到的最让我满意的make讲解资料,每次阅读都会有很多收获,具体作者不详,我所获取的原文地址点我查看,在此感谢原作者,非常感谢!有知道原文地址的可以PM我,我添加上,以示对原作者的尊敬。正文如下:序编译时,编译器需要的是语法的正确,函数与变量的声

2012-05-12 21:30:45 405

原创 RC复位电路

看高电平有效还是低电平有效很简单啦。你看按键按下去之后RST是高还是低。左图按下去是高就是高有效,右边按下去是低就是低有效。顺带说下原理(左图为例):先不管按键,看上电复位的情况通电瞬间电容可以当短路(别问我为什么)所以RST脚为高电平。随着时间的飞逝(电容充电),稳定后VCC的电压实际上是加在电容上的。电容下极板也就是RST脚最终为0V。这样RST持续一段时间高电平后最终稳定在低电平

2012-05-10 21:50:35 2797

原创 verilog错误及解决方法

首先看错在第几行,为什么错,错误提示理解!Identifier must be declared with a port mode:   搞了半天,原来是testbench没有输入,而且testbench的参数用reg类型;

2012-05-10 20:58:15 9683 1

转载 NOR_FLASH & NAND_FLASH

NOR型和NAND型的比较NOR型和NAND型闪存器件的主要技术区别是他们存储单元的电路不同。NOR型电路由并联的存储晶体管组成,NOR型闪存 提供了一个直接的地址和数据接口,可以对存储单元随机存取(这对代码存储器很重要),读的速度快而且可靠。一个系统可以很容易从NOR 闪存启动。在某些应用里,一个小的映射存储器可以增加存取速度。在很多应用中,处理器可直接从NOR 闪存上启动。所以NOR 闪存

2012-05-09 16:39:47 428

转载 反论文抄袭检查,吼吼,终于找到了

现在提供论文检测的机构主要来源于三大中文期刊数据库,即中国知网论文检测系统,万方论文相似性检测系统,维普通达检测系统。现在应用较多的是中国知网和万方的检测系统,但是两者都不是免费的,只有维普通达注册后可以免费检测三次。介于此考虑,我在网上收集了一下,提供免费检测论文的几个网站。虽然与权威检测机构的检测结果不一定完全一致,但肯定对论文的修改是有一定帮助的。1 维普通达检测系统http:/

2012-05-08 16:13:10 1462

原创 单极放大器

今天是2012年5月1日,第二个礼拜CMOS模拟集成,感觉老师讲的有点慢,另外自己先学习了还是很有好处的,听的很有效率。老师虽然讲的有点慢,但有的东西还是很实用的。I(1/4f)=CVGaAs几乎绝缘,所以噪声控制好,不像CMOS衬底有串扰电流。逆向一般只适用于模拟,数字电路太大了,逆向后改下工艺线宽是很好的避免专利纠纷的方法。f=1/sqr(LC),可以用

2012-05-01 16:15:33 1111

原创 unity问题解决

寒假捣鼓3D把Unity弄坏了,怎么还原不好,unity --reset没用,今天终于把心头一个结搞定了,建了个新账号,把原来的删了,然后再建和原来名字一样的账号;终于折腾好了,Uuntu下多个账户只有文件是独立的,软件还是共享的,还是不错的。

2012-05-01 13:18:50 496

转载 wating for network configuration & unity恢复

启动时提示/var/run/dbus system_bus_socket connection refusedWaiting for network configurationWaiting up to 60 seconds more for network configuration具体bug见: https://bugs.launchpad.net/ubuntu/+sour

2012-04-30 15:51:52 495

原创 2012年4月25日_实习动员会

今天开了实习动员会,记录如下:1.最重要是完成硕士论文,论文要有工作量,要写自己在项目中运用知识解决了什么问题,不能就是按部就班做了哪些事情就可以,要有解决问题的能力。2.苏州的企业有:云芯电子,超威半导体,慧利仪器。3.要有企业导师,博士或者高级工程师。4.实习每个月5号都要交汇报,至少10篇;和论文有关的实习至少7个月。总共实习至少10个月。中期

2012-04-25 22:28:03 1360

原创 模拟COS基本常识要点

COMS模拟集成工艺下:电阻R1.Nwell(或N+)做电阻,在衬底上作,高频导线有电感,瞬时低于p衬底电压会软击穿。2.poly上加氮化物减小方块电阻。3.在高频下用提高反馈电阻Rf来增大增益是没什么效果的,因为此时load端有寄生电容分流。电容C电容有很多做法,ex.金属+polyCgs和Cgd在 0.13um工艺下为fF(e-15);  电感L

2012-04-24 21:59:01 2406

转载 装修注意事项

1、洁具买惠达和箭牌的。2、华润的镜子质量好;3、华航的五金便宜且质量较好;4、加枫玻璃隔断(浴房)质量好。5、法恩沙马桶好,都1000多。6、换气扇最好装在烟道立面上,装在铝顶上会产生共振的。7、地面找平和防水(包工包料)一般分别是15/平米和60/平米。 8、马桶的三大系列比较:漩涡比较静音;冲落是较传统的方式,费水;喷射较省水。 9、买洁具主要考虑的是它的节水

2012-04-24 10:13:39 7773

转载 论文参考文献的添加

论文参考文献的添加  返回日志列表论文参考文献的添加樱花释终于把论文初稿写完了,整理论文格式花了不少的时间,现把参考文献的添加方法做一介绍,望对写论文的你有所帮助。以word2007为例:第一步:第二步:若需要重复应用文献,则进入第三步:第三步:插入参考文献之

2012-04-23 16:29:25 515

原创 shell

拨号上网::~$     sudo pon dsl-provider    sudo pppoeconf    sudo poff dsl-providersudo pppoeconf eth0 MAC    您可以通过 "plog" 命令来查看状态,或者通过 "ifconfig ppp0" 来获得接口信息。 基本操作:ctrl+Z 退出

2012-04-21 17:54:08 287

转载 vi & vim

引自: http://cse.seu.edu.cn/people/shine/vim_about.htmlvim是vi的进阶版,有颜色标记更清楚sudo apt-get install vim安装解决乱码问题:用 sudo gedit /etc/vim/vimrc 添加进如下内容:(不用sudo ,gedit就没权限保存)"乱码问题大解决set encodi

2012-04-21 13:42:50 702

转载 如何写函数

自定义函数的途径:M文件函数(M file function)在线函数(Inline Function)匿名函数(Anonymous Function)1.M文件函数范例function c=myadd(a,b)%这里可以写函数的使用说明,前面以%开头%在工作区中,help myadd将显示此处的说明c=a+b;%end %非必须的第一行function

2012-04-20 22:11:26 614

转载 伽玛函数

伽玛函数(Gamma Function)作为阶乘的延拓,是定义在复数范围内的亚纯函数,通常写成Γ(x).当函数的变量是正整数时,函数的值就是前一个整数的阶乘,或者说Γ(n+1)=n!公式  伽玛函数表达式:Γ(x)=∫e^(-t)*t^(x-1)dt (积分的下限是0,上限是+∞)  利用分部积分法(integration by

2012-04-20 21:46:45 41546

转载 把照片的字转换为数字版

把书上的字快速弄到电脑上,我也会,哇哇。。。在工作中,我常常在想,要是能把纸上有用的文字快速输入到电脑中,不用打字录入便可以大大提高工作效率该有多好呀!随着科技的发展, 这个问题在不断的解决,例如,现在市场上的扫描仪就带有OCR软件,可以把扫描的文字转换到电脑中进行编辑。但是,对于我们平常人来说,大多数人都是即不想多花钱购买不常用的设备,又不想费力气打字录入,那我就给大家提供一个我刚刚发现的方法吧

2012-04-15 19:54:06 1068

转载 咖啡分类

2012-04-13 17:12:01 540

转载 MATLAB通信工具箱函数

信号源randerr产生随机无码图样randint产生均匀分布的随机整数randsrc用预定义的字母表产生随机矩阵wgn产生高斯噪声  信号分析函数 biterr计算误

2012-04-12 20:58:03 13426

转载 不花钱,自己查论文抄袭程度

1 维普通达检测系统http://gocheck.cn个人注册后,可以免费检测三次。维普通达检测系统是继中国知网和万方后,又一个拥有海量期刊文献系统支持的论文防抄袭检测系统,他的检测结果较其它网站,更为权威。个人建议使用该系统。2 知识产权卫士-拷克网 http://www.copycheck.com.cn/index.html     暂时网站论文检测为完全免费。

2012-04-10 13:53:29 1993

原创 “此致敬礼”是什么意思

“此致”可理解为“至此”,“话以至此”的意思敬礼为“表达最真诚的敬意”整句为:“话以到此结束,在次像您表达我最真诚的敬意”人们写信,常在末尾写“此致”,另一行写“敬礼”,“此致”“敬礼”后面不加标点,这已经成为一种格式。“敬礼”好懂,“此致”是什么意思呢?    这就要弄清“此致”的含义和作用。“此”是指前面信中所写的内容。写回信,末尾用“此复”;下通知,末尾用“此通知”;发命令,

2012-04-03 12:23:53 9132

原创 信号调制

FDM:TDM:CDMA:Code Division Multiple Access技術細節在所有的CDMA體制中,接收者都可以使用扩频处理增益来部分衰减非期望的信号。具有期望的扩频码的信号能被接受,如果信號對應不同的展譜码(或者相同展譜码但是不同的时间偏移)将在解展譜过程中被当作随机噪声而衰减掉。这项操作的方法是给每一个

2012-04-02 16:08:47 780

转载 如何了解某一研究领域的总体发展趋势

无论是进行科研立项还是开题报告,您常常需要从宏观上把握国内外某一研究领域或专题的总体研究趋势,如何快速获取这些信息呢?您可以通过生成课题引文报告或分析论文出版年的方式有效获得。1.访问Web of Science数据库检索论文        请访问:www.isiknowledge.com, 进入ISI Web of Knowledge平台; 选择Web of Science数据库

2012-03-29 10:20:33 3330

转载 线程 进程 程序

线程,进程和程序的简单比较      总结起来就是,线程是进程的一部分,进程是程序的一部分。      线程的引入:例如,有一个Web服务器要进程的方式并发地处理来自不同用户的网页访问请求的话,可以创建父进程和多个子进程的方式来进行处理,但是创建一个进程要花费较大的系统开销和占用较多的资源。除外,这些不同的用户子进程在执行的时候涉及到进程上下文切换,上下文切换是一个复杂

2012-03-27 21:21:14 280

转载 int main(int argc,char* argv[]) & fopen & fseek

argc是命令行总的参数个数      argv[]是argc个参数,其中第0个参数是程序的全名,以后的参数命令行后面跟的用户输入的参数,比如:      int  main(int argc,  char* argv[])      {          int   i;          for (i = 0; i            cout       cin

2012-03-26 15:16:39 567

原创 Matlab绘制二维图

xlabel('jeff')      %给坐标轴加说明 title('Xmax')       %给整个图形加图题grid                    %加网格t=0:.1:2*pi     从0到2pi画图x^2表示矩阵相乘,而x.^2表示数值相乘.常用的二维图形命令:plot:绘制二维图形    loglog:用全对数坐标绘图

2012-03-23 21:57:30 12252 2

原创 好用的软件_记录

windows:下载:1.utorrent截图:1.FastStone Capture:       http://www.faststone.org/FSCaptureDetail.htm            有汉化版                    2.—————————————

2012-03-23 14:38:07 455

转载 台湾_论文_查找

台湾博硕士论文资讯网(可下载全文) 网址:https://etds.ncl.edu.tw/theabs/ 帐号:theabs 密码:theabs 本文转自诺贝尔学术资源网 http://bbs.ok6ok.com,☆文献互助、学术交流和学术资源一些台湾的学术资源 硕士, 资源, 论文, 学术, 台湾 国立政治大学的博硕士论文馆藏 1、国立政治大学

2012-03-23 14:21:36 6357

原创 vector的调试,输出 字符串组

#include#include#includeusing   namespace   std;//using std::iostream;//using std::string;//using std::vector;int main(){coutstring word;vector jeff;while(1)   //也可以直接ctr

2012-03-16 16:42:50 549

原创 vs2008建工程调试

已有源文件的基础上建工程:把源文件复制到工程文件夹下,再在软件中的头文件源文件目录下添加,不然只是个链接。1.新建工程:2.这是main函数,一个工程只有一个main。   括号里两个参数 ,第一个是执行程序的参数个数,第二个是 输入的参数字符串。3.调试时要设置属性,命令参数和文件地址。4.如图

2012-03-15 21:06:44 524

原创 时间好少,要学的好多

今天一下午就把《数字集成电路》—— Jan M.Raey的看了下第八章,讲了IC设计流程和FPGA,基本是可编程课的回顾,发现这本书讲的内容好多我都没有看到,只学了皮毛,这一章全是概念,却花了我一下午,有点不爽。发现每一章都是按照论文的格式写的,想到毕业设计时也要这样写出一章的论文,感觉还要看好多书。以前总追求完美,要么不学,要么全会,导致难得更本不想学,越来越差;现在看来要改变了,要通读

2012-03-15 18:33:48 347

转载 C++ 的引用

引用(reference)是c++的初学者比较容易迷惑的概念。下面我们比较详细地讨论引用。 一、引用的概念引用引入了对象的一个同义词。定义引用的表示方法与定义指针相似,只是用&代替了*。例如: Point pt1(10,10);Point &pt2=pt1; 定义了pt2为pt1的引用。通过这样的定义,pt1和pt2表示同一对象。需要特别强调的是引用并不产生对象的副本,

2012-03-13 18:50:03 217

原创 一个晚上和半天把C++primer看到了50页

发现还是没耐心,碰到难得就觉得很吃力。一些总结#include//不加.h//using   namespace   std; //如果要直接使用cin之类的不加std:: ,那么必须加上这句话。int main (){int sum=0;int value;while(std::cin>>value)sum+=value;std::coutreturn

2012-03-13 16:54:53 432

原创 MP3的C语言调试

今天把JTAG线给烧了。然后烧好了microblaze内核,添加了一小段读CF卡的C代码。之前因为害怕C语言走了好多弯路,还是勇敢点面对吧。        向丁东生请教调试技巧如下:                                                          F9   设置断点(可设置多个)

2012-03-12 19:21:41 808

转载 Mp3解码算法流程

第1章     声明本文档版权归属于西安交通大学人工智能与机器人研究所 作者:李国辉  [email protected]第2章     Mp3解码算法流程       MP3的全称为MPEG1 Layer-3音频文件, MPEG音频文件是MPEG1标准中的声音部分,也叫MPEG音频层,它根据压缩质量和编码复杂程度划分为三层,即Layer1、Layer2、Layer

2012-03-08 14:48:53 13169 1

信息论与编码

一共300多页全英文原稿,搞通信编码的可以看看,绝对是经典中的经典;国外老教授手写原稿。通信编码领域。

2012-03-14

MP3的verilog硬件实现_完整工程

非常非常难得,可以说网上都没有;这是我花了非常大的力气找到的,MP3的verilog硬件实现_完整工程;可以在ISE综合生成下载文件。还有说明文档。

2012-03-08

华为 verilog 教程.

华为 verilog 内部教程教程. 内容详细,不可错过!

2011-12-14

FIFO的verilog代码

FIFO的verilog代码,数字设计必会! 包含了测试模块。 居家毕设,必备良药!

2011-12-14

数字集成电路期末考试试卷

数字集成电路期末考试试卷 ,数字集成电路考试前必看

2011-12-13

算法导论 答案

算法导论 答案 solution to CLR 算法设计与分析;网上比较全的一分。全英文

2011-12-13

嵌入式系统的C 程序设计

嵌入式系统的C 程序设计;嵌入式技术越来越重要,而C语言又是非常通用的语言

2011-12-13

MP3音频解码器的FPGA原型芯片设计与实现_毕业论文.pdf

MP3音频解码器的FPGA原型芯片设计与实现_合肥工业大学_硕士毕业论文.pdf

2011-12-13

TCl /tk 教程

Tck/tk 是一种script脚本语言,用来计算机辅助ASIC开发,是ASIC后端开发必备的一种脚本语言。

2011-12-13

FPGA快速开发简明教程

FPGA的简明教程!对于初学者应该是有帮助的。

2011-12-13

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除