自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 收藏
  • 关注

原创 自抽的实验

1.实验目的:用verilog实现有并行载入端的递减计数器和递增/递减计数器的仿真测试2.实验原理:按照书上的内容,书写和运行代码,完成联合仿真实验.实验代码:(1)module downcount(R,Clock,E,L,Q);parameter n = 8;input [n-1:0] R;input Clock, L, E;output reg [n-1:0] Q; always @(posedge Clock) if(L) Q<=R;

2021-07-01 22:54:25 83

原创 序列检测器的综合

一.实验目的寄存输出和非寄存输出的Mealy 型和Moore 型111"序列检测器仿真二.实验原理当串行输人流D_in接收到给定的连续比特流时,序列检测器将产生一个输出D_oul6]。该 据由状态机中控制状态转移的时钟有效沿的相反边沿同步(即反向同步)。如果状态转移发生 时钟的下降沿,那么在接下来的时钟上升沿就产生有效数据输出。序列检测器可由Mealy型或 Moore型的显式有限状态机实现下面将从两个角度来分析序列检测器。首先主要阐述序列检测器怎样接收输人比特流。 lealy状态机在时钟的有

2021-06-28 22:29:18 572

原创 线性寄存器的仿真图观察计数序列

一.打开quartusII,建立一个工程(注意,此文件名字一定要与module的名称一样),并且建立一个verilog文件来装载代码。如图所示:二.输入书上的verilog代码,保存并且运行,若是有错误就直接改正,没有错误就可以继续下一步,如下图:三.与modulesim进行关联并且运行,如下图:四.得到仿真图,然后就直接观察仿真图,如下图这就是使用组赛赋值之后仿真出来的线性反馈寄存器的仿真图,并且可以从中看到计数序列。五.视频链接:https://www.bilibili.com/

2021-06-28 14:50:12 230

原创 利用FPGA验证设计功能并且熟悉FPGA使用流程

一,实验目的:(1)基本掌握建模语句;(2)理解FPGA在设计流程中的作用;(3)熟悉FPGA设计流程。二.实验涉及语法(1)第二章的门级语法;(2)第三章的数据流语法步骤:1.建立工程(1)打开QUARTUSII软件,在菜单栏中点击【file】-【project wizard】,会弹出工程设置对话框,工程名和新建顶层模块名字一定一样并且和module名称一样。如下图所示:(2)接下来要选择器件类型,要更具开发板使用的FPGA来选择,本实验中选择的是Cyclone IVE器件族中的29

2021-06-27 13:23:44 629

原创 使用Verilog HDL设计所需要的功能模块和验证所设计模块的正确性

一.首先先建立一个在quartusII中建立一个project(1)file-new-verilog HDL file:(2)输入代码:1.module decoder3x8(din,en,dout,ex);input [2:0] din;input en;output [7:0] dout;output ex;reg [7:0] dout;reg ex;always @(din or en)if(en)begindout=8’b1111_1111;ex=1’b1;ende

2021-06-04 15:34:36 339

原创 建立一个2-4译码器的门级模型

一、实验目的了解2-4译码器原理以及2-4译码器的应用二、实验过程1、打开quartus II,打开代码页面(Verilog HDL File)将代码粘贴到页面上。module DEC2x4 (Z,A,B,Enable );output [3:0] Z;input A,B,Enable;wire Abar,Bbar;notnot0 (Abar,A),not1 (Bbar,B);nandnand0(Z[3],Enable,A,B),nand1(Z[0],Enable,Abar,Bba

2021-05-21 18:12:39 2153

原创 modelsim 基本仿真流程

1.实验目的:掌握Modelsim的基本操作和应用和仿真流程2.实验内容:module test;wire sum,c_out;reg a,b,c_in;fulladd fadd(sum,c_out,a,b,c_in);initialbegina=0;b=0;c_in=0;#10 a=0;b=0;c_in=1;#10 a=0;b=1;c_in=0;#10 a=0;b=1;c_in=1;#10 a=1;b=0;c_in=0;#10 a=1;b=0;c_in=1;#10 a=1;

2021-05-21 17:45:10 109

原创 4位加器门级建模和Verilog与modelsim联合仿真

1.实验目的:利用实验模板设计一个4位加法器并仿真测试;掌握Modelsim。2.实验内容:4位加法器的门级建模;使用Modelsim就行仿真。3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作。4.实验操作截图:(1)(2)(3)(4)(5)(6)(7)(8)(9)5、实验结果(1)(2)5.截图,并且编写博客6.结束...

2021-05-07 21:35:34 466

原创 Quartur ii软件和modlsim进行联合仿真。

1.实验目的:下载Quartur ii软件和modlsim并进行联合仿真。2.实验内容:参照哔哩哔哩中教程的代码,然后用quartus ii和modlsim进行联合仿真3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作。4.实验操作截图:(1)(2)(3)(4)5.实验工具:pc机和Quartur ii软件和modlsim软件。6.录取实验视频:...

2021-04-13 21:27:55 106

原创 利用quartusII来对逻辑电路进行仿真并且得出仿真图

一·打开quartus,新建一个工程(步骤:file-new-block diagram/schematic file-ok)如图:二、开始建立逻辑电路(1)点击如下图所示的标志(2)在弹出窗口中选择:文件夹-primitives-logic or pin)选择or2、and2(double)、not如图:三、连接线路(如下图)并且保存PS:在连接线路之间不能出现星号和处连接之外的黑点四、编译如图所示即连接正确五、仿真(file-new-university program V

2021-03-15 21:31:17 5439 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除