自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(12)
  • 资源 (22)
  • 收藏
  • 关注

转载 工作选择三步法

2018-03-22 13:41:28 434

原创 合格的嵌入式软件工程师

1.C/C++2.汇编3.操作系统原理及常见操作系统内核源码分析(RTOS,UCOS,Linux)4.计算机基础5.计算机网络(TCP、IP、UDP协议等)6.数据结构7.算法8.matlab、simulink、vs,ccs等9.无线通信基础10.移动通信基础11.软件开发流程12.数字电路、模拟电路13.项目管理14.软技能...

2018-03-21 14:48:17 410 1

原创 【问题3】TI CCS7 中性能分析器Profile的使用?

想分析工程中某些函数的执行时间,性能分析器Profile是否只能在Simulator下使用,Emulator不能使用。1.对于C6000系列的芯片来说,性能分析器Profile只能在Simulator下使用,Emulator不能使用。2.替换的方法,就是利用clock  Profile来分析或者用instrument来分析...

2018-03-20 16:07:07 1089

原创 【问题2】Dsp 内存分配及Cache的使用

1)内存分级机制2)内存分配及代码覆盖3)Cache使用

2018-03-20 10:13:48 3003 1

原创 【问题1】Debug过程中优化等级的该如何设置?

        如果某段代码在release的时候优化等级是-o3,那么在Debug程序的时候,如果不将优化等级降低,就会无法再特定位置加入断点,定位问题,如果降低了优化等级,可能时间上有不满足要求,此时程序的流程又会不正常,会导致其他错误,该怎么去调试这类问题呢?    调试一个程序和使它运行得更快之间通常是冲突的。通常只能选择其中之一:要么是一个可以调试但运行速度不理想的程序,要么是一个运行速...

2018-03-20 10:00:55 1087

转载 汽车电子开发设计常用软件工具有哪些

汽车电子开发设计常用软件工具有哪些|更新:2016-06-05 13:591234567分步阅读       当今汽车电子控制器越来越多,技术越来越复杂,一般正常电子控制器有:电喷发动机,电动车窗,电动座椅,电控车身稳定系统,电子显示屏,电控悬架等等。而如今的汽车都配备了一个电脑—ECU来调节整个汽车的运行,汽车电子技术已经成了汽车技术进步的最大源泉。      工程师在汽车电子开发过程的常用工具...

2018-03-08 11:25:01 7572

原创 AUTOSAR培训列表

一、AUTOSAR基础知识介绍        1.1. 为什么用AUTOSAR        1.2. AUTOSAR的简介        1.3. AUTOSAR软件架构        1.4. AUTOSAR方法论        1.5. AUTOSAR接口        1.6. AUTOSAR开发流程 二、AUTOSAR深入详解及例子演示        2.1. OS操作系统详解     ...

2018-03-08 09:05:27 5775 3

转载 汽车雷达培训提纲

一、ADAS系统应用介绍1.1 雷达概念介绍1.2 FMCW雷达1.3 FMCW雷达频段介绍1.4 雷达基本公式推导1.5 2D FFT雷达系统1.6 Digital Beamforming1.7 雷达天线和板材二、雷达PLL原理介绍2.1 PLL线性模型2.2 环路滤波器设计2.3 鉴相器原理2.4 压控振荡器2.5 分频器2.6 数字PLL介绍三、FMCW算法介绍3.1 LFM/CVS/MFS...

2018-03-07 19:59:34 536 1

转载 结构体初始化

结构体初始化结构体能自由组装数据,是一种很常见的数据打包方法。当我们定义一个结构体后,没有初始化就使用,就会使用到垃圾数据,而且这种错误很难发现。对于定义的任何变量,我们最好都先初始化。 除了使用memset和ZeroMemory之外,有没有更简单的方法初始化呢?因为有时候每定义一个结构体,就使用一次memset,也会觉得很繁琐。 我这里总结三种方法,如果大家有什么好的方法,不

2016-11-10 15:50:14 318

转载 C-枚举

C-枚举标签: newlinesunspringinput语言include2011-04-20 21:45 38583人阅读 评论(3) 收藏 举报版权声明:本文为博主原创文章,未经博主允许不得转载。在实际应用中,有的变量只有几种可能取值。如人的性别只有两种可能取值,星期只有七种可能取值。在 C 语言中对这样取值比较特殊的变量可以定义为枚举类型。所谓枚

2016-11-10 14:57:18 339

原创 同一功能不同表示语句执行时间比较

语句 if( i++ > 255) i=0;的执行时间长于i=(i++)&0xff;语句a=i >> 3;;的执行时间长a=i/8;

2016-02-21 22:30:33 368

转载 CCS 5 利用profile测试函数运行时间

CCS 5 利用profile测试函数运行时间

2016-02-21 21:31:47 4691 1

TETRA协议 392-2 第二部分:空中接口.doc

tetra系统即tetra数字集群通信系统,是一种基于数字时分多址(tdma)技术的无线集群移动通信系统。tetra是目前世界上最先进的陆地集群无线通信系统,被公共安全部门、铁路、交通、大型企业等部门广泛的采用,用于指挥、调度、数据传输等业务。 本文档是TETRA中最重要的协议第二部分,word中文版

2018-08-05

TETRA协议 392-1 第一部分:一般网络设计.doc

tetra系统即tetra数字集群通信系统,是一种基于数字时分多址(tdma)技术的无线集群移动通信系统。tetra是目前世界上最先进的陆地集群无线通信系统,被公共安全部门、铁路、交通、大型企业等部门广泛的采用,用于指挥、调度、数据传输等业务。 本文档是Tetra协议的第一部分,word中文版

2018-08-05

ITU-R P.1411-5建议书- 300 MHZ至100 GHZ频率范围

本建议书提供了有关300M-100GHz频率范围上户外短距离传播指南。路径损耗,街道峡谷多路径模型,衰落特性。

2017-11-10

电脑文件搜索软件

Everything是速度最快的文件搜索软件。其速度之快令人震惊,百G硬盘几十万个文件,可以在几秒钟之内完成索引;文件名搜索瞬间呈现结果。它小巧免费,支持中文,支持正则表达式,可以通过HTTP或FTP分享搜索结果。如果不满意Windows自带的搜索工具、Total Commander的搜索、Google 桌面搜索或百度硬盘搜索,如果正在使用或放弃了Locate32,都值得推荐这款体积小巧、免安装、免费、速度极快(比Locate32更快)的文件搜索工具Everything!

2017-11-09

TMS320C66x KeyStone架构多核DSP入门与实例精解 [牛金海 编著] 2014年版.rar

本书围绕美国德州仪器公司(TI)最新的KeyStone架构C66x多核DSP,介绍了CCSV5的使用、SYS/BIOS、多核编程技术、KeyStone架构体系以及内存管理、C6678芯片硬件及外设,并且在CCSV5 Simulator,以及C6678 EVM硬件环境下运行了bmp格式图像处理、IPC,VLFFT,Imaging Processing, HUA等实例,最后介绍了多核Boot的原理与实例。同时,也给出了多核DSP的应用,特别是在医学超声中的应用。 第1章 TMS320C66x多核DSP的性能与应用 第2章 TMS320C66x DSP 多核处理器架构 第3章 DSP系统多核编程指南 第4章 TI SYS/BIOS实时操作系统 第5章 多核DSP的软件仿真与实例精解 第6章 TMDXEVM6678L EVM 及硬件仿真实例精解 第7章 TMS320C66x多核DSP Boot以及EVM板实例详解 第8章 TMS320C66xDSP 在医学超声成像系统中的应用

2017-10-21

C注释&标签规则.pdf

C CODE 标签和注释规约 注释要求简单,符合 Doxygen 文档生成规范,注释位于需要注释的上方,注释要求统一使用简洁的英文,语法方面不严格要求,但必须语义清楚明了。

2017-10-20

MISRA-C-2004__中文版.pdf

在汽车工业领域的实时嵌入式应用中, C 编程语言的使用越来越体现出广泛性和重要性。 这在相当程度上取决于该语言固有的灵活性、可支持的范围及其潜在的访问广泛硬件环境的可移植性。详细的理由包括: 1、对于许多使用中的微处理器来说,如果存在其他除了汇编语言之外的可用语言,通常就是 C。在许多情况下,其他语言根本就不可用于硬件。 2、C 对高速、底层、输入/输出操作等提供了很好的支持,而这些特性是许多汽车嵌入式系统的基本特性。 3、由于应用的逐步增长的复杂性,高级语言的使用较汇编语言更为适合。 4、 相对于其他一些高级语言, C 能够产生较小的和较少 RAM 密集性( RAM-intensive)的代码。 5、 增长的可移植性需求。市场竞争要求在工程项目生命周期的任何阶段,软件可以通过移植到新的和/或低成本的处理器,目的是为了降低硬件成本。 6、增长的自动产生 C 代码的使用要求。 C 代码需要从模型包中自动产生。 7、增长的对开放系统和主机环境( hosted enviroments)的兴趣。

2017-10-18

华为的C语言编程规范.pdf

华为技术有限公司内部技术规范。 本规范制定了编写C语言程序的基本原则、规则和建议。从代码的清晰、简洁、可测试、安全、程序效率、可移植各个方面对C语言编程作出了具体指导。

2017-10-18

FMCW测距雷达设计与实现---南京航天航空大学 .pdf

本文围绕 FMCW 雷达测距进行了深入分析,设计了一款低成本、小型化 24GHz 雷达测距 系统,本文首先详细说明了调频连续波雷达的测距原理,并分别从频域和时域上对系统的差 频信号进行了详细分析,文中根据理论和实际应用需要, 确定了测距系统需要设定的参数。

2017-09-27

DSP覆盖_Overlay_程序设计.pdf

Overlay程序设计技术的基本原理是利用模块化设计思想,将任务划分成多个功能模块,在内存中只加载当前需要执行的模块,不加载其他暂不执行的模块。但当其他模块需要执行时,首先将内存中的模块卸载,然后将需要执行的模块再加载到内存。就内存的使用情况而言,Overlay技术与动态链接库是非常类似的。本文以TM320C6000系列DSP为目标平台,通过一个具体实例给出开发DSP覆盖(Overlay)程序设计技术的方法和实现步骤,详细地讨论了Overlay程序设计技术各个环节。

2017-09-27

基于AT89C52的实例程序及其仿真

基于AT89C52的实例程序及其仿真(内有程序和基于Proteus的仿真实例 下载即可打开运行 查看效果) 1.3IO控制13键 2.3LEDKEY 3.7SEG花样 4.44键盘 5.60进制计数 6.频率周期测量 7.频率计 8.基于DAC0832的单片机模拟DDS低频信号发生器 9.密码锁 10.点阵控制花样32 11.点阵控制花样 12.串口通讯方式 ......

2010-12-18

叶老师AVR单片机视频种子.rar

视频内容介绍: 第一讲:开发板和软件的操作使用 1、介绍配件。 2、安装软件(ICC AVR 和AVR STUDIO)及其使用,括新建工程、程序下载,仿真调试;整板测试;板上资源。 3、着重介绍一下USB接口的仿真器。 第二讲:AVR单片机的概述和C语言的基础知识 1、AVR单片机的概述包括它的优点、片上资源。 2、C语言的基础包括电平特性、2进制与16进制的表示及转换、二进制数的逻辑运算,数据类型,运算符。 3、着重讲一下特有的BIT()操作,C中的各种语句。 4、介绍一下AVR单片机IO口的配置。 第三讲:点亮发光二极管、驱动蜂鸣器、继电器 1、首先讲解一下原理图上开关电路、复位电路、时钟电路; 2、讲解573工作原理, 3、首先点亮一个发光二极管,然后让一个二极管亮灭变化。 4、编写函数证明573的工作原理:锁住后不导通就不能再更新数据。 5、编写延时函数,软件仿真查看延时的精确时间 6 子函数的编写(分带形参和不带形参)。 7、编写流水灯函数。 8、蜂鸣器,继电器的工作原理并编写程序。 第四讲:数码管工作原理 1、共阴共阳数码管内部结构,显示原理。 2、用数字万用表标定数码管的段选和位选 3、编写程序使1个数码管上显示数字 4、编写程序在6个数码管上滚动显示数字 5、重点讲解动态显示,保持时间,以及扫描频率对闪烁和亮暗程度的影响。 第五讲:键盘检测原理(比较复杂) 1、键盘作用,检测原理,如何消抖,独立键盘检测程序编写。 2、矩阵键盘检测程序编写,涉及到返回值函数调用。 第六讲:1602液晶的使用 1、看手册,管脚、写指令和数据、时序图。 2、编写程序,显示光标 3、编写程序显示字, 4、编写程序,滚动显示字符 第七讲:中断、定时器的原理和应用(比较复杂) 1、中断的概念,AVR单片机的中断 2、定时/计数器1几种模式的寄存器配置 3、定时器1普通模式下实现秒表 4、CTC模式输出方波 5、快速PWM模式输出PWM信号 6、相位修正PWM模式输出PWM信号 第八讲:AD、DA简介及其应用 1、AD简介,AVR内部AD寄存器设置 2、编写程序控制AD读写电位器输出的模拟电压 3、SPI串行通信简介 4、DA简介,AD5300时序图 5、编写程序控制DA输出模拟电压使发光二极管亮度变化 第九讲:TWI(IIC) 1、TWI(IIC)协议简介 2、AVR单片机内部TWI寄存器简介,操作流程 3、具有IIC协议的数字电位器芯片AD5161的简介 4、编写程序用独立键盘控制AD5161输出不同的阻值 第十讲:异步串口UART的简介和程序的编写 1、UART简介 2、AVR单片机内部与UART相关的寄存器的配置 3、编写程序控制AVR单片机和电脑进行通信 4、讲解串行通信时数字和字符之间的区别 第十一讲:PS2键盘、DS1302的工作原理和程序的编写 1、AVR单片机外部中断的介绍 2、PS2键盘的工作原理 3、讲解程序,读取PS2键盘的按键值并在1602液晶上显示 4、DS1302的工作原理 5、讲解程序,控制1302工作并在1602液晶上显示时间

2010-11-03

FPGA设计与应用教学课件.ppt

第1章 FPGA概述 1.1 FPGA的发展历程 1.2 FPGA的基本原理 1.3 FPGA的设计方法 1.4 FPGA的设计流程 1.5 总结与结论 第2章 硬件描述语言入门 2.1 VHDL入门 2.2 Verilog HDL入门 第3章 简单电路的HDL设计 3.1 基本组合逻辑运算 3.2 基本时序器件—寄存器 3.3 基本简单数学运算 第4章 FPGA的同步设计 4.1 同步的定义 4.2 同步部件 4.3 状态产生 4.4 中央允许产生器 4.5 同步清除 4.6 时钟歪斜的清除 4.7 异步接口 第5章 常见的FPGA设计实例 5.1 移位寄存器设计实例 5.2 计数器设计实例 5.3 状态机设计实例 5.4 存储器设计实例 5.5 门禁系统设计实例 第6章 FPGA的配置与编程 6.1 Altera FPGA配置与编程 6.2 Xillinx FPGA配置 第7章 FPGA的配置与编程 第8章 FPGA发展趋势 ...

2009-03-29

C语言程序设计教程电子教案

第1章 C语言概述 第2章 数据类型、运算符与表达式 第3章 顺序结构程序设计 第4章 选择结构程序设计 第5章 循环结构程序设计 第6章 数 组 第7章 函 数 第8章 编译预处理 第9章 指 针 第10章 结构与链表 第11章 位运算 第12章 文 件

2009-03-24

谭浩强经典c语言教程(分为10章节)

第1、2章 算法.ppt 第3章_数据类型.ppt 第4章_简单程序 .ppt 第5章_选择.ppt 第6章_循环.ppt 第7章 数组.ppt 第8章 函数.ppt 第9章 结构体.ppt 第10章 指针.ppt

2009-03-23

单片机教程(教程、习题、习题讲解)

第一章 MCS-51单片机结构.pdf 第二章 指令系统.pdf 第三章 MCS-51单片机汇编语言程序设计.pdf ... 第八章 单片机串行接口.pdf 第九章 单片机总线与扩展.pdf 第十章 单片机接口技术.pdf 以及 每章的习题

2009-03-23

给初学单片机的40个实验

1. 闪烁灯 2. 模拟开关灯 3. 多路开关状态指示 4. 广告灯的左移右移 5. 广告灯(利用取表方式) ....... 15. 定时计数器T0作定时应用技术 ......

2009-03-20

FPGA开发-EDK软件基本介绍

第3节 EDK软件基本介绍. 第4节 XPS软件的基本操作. 第5节 XPS软件的高级操作2. 第5节 XPS软件的高级操作. 第6节 EDK开发实例—DDR SDRAM接口控制器.

2009-03-20

FPGA开发-ChipScope教程

1.ChipScope Pro工具简介 2.ChipScope Core Generator使用说明 3.ChipScope Core Inserter使用说明 4.ChipScope Core Analyzer使用说明 5.ChipScope Pro Serial IO Toolkit使用说明 6.ChipScope Pro应用实例

2009-03-20

verilog HDL设计进阶练习

练习一.简单的组合逻辑设计 目的: 掌握基本组合逻辑电路的实现方法。 练习二. 简单时序逻辑电路的设计 目的:掌握基本时序逻辑电路的实现。 练习三. 利用条件语句实现较复杂的时序逻辑电路 目的:掌握条件语句在Verilog HDL中的使用。 练习四. 设计时序逻辑时采用阻塞赋值与非阻塞赋值的区别 目的:1.明确掌握阻塞赋值与非阻塞赋值的概念和区别; 练习五. 用always块实现较复杂的组合逻辑电路 目的: 1.掌握用always实现组合逻辑电路的方法; 练习六. 在Verilog HDL中使用函数 目的:掌握函数在模块设计中的使用。 练习七. 在Verilog HDL中使用任务(task) 目的:掌握任务在结构化Verilog HDL设计中的应用。 练习八. 利用有限状态机进行复杂时序逻辑的设计 目的:掌握利用有限状态机实现复杂时序逻辑的方法; 练习九.利用状态机的嵌套实现层次结构化设计 目的:1.运用主状态机与子状态机产生层次化的逻辑设计; 练习十. 通过模块之间的调用实现自顶向下的设计 目的:学习状态机的嵌套使用实现层次化、结构化设计。

2009-03-18

FPGA教程完整版 自己学习是用的

这是一个学习FPGA的教程,是我自己学习是用的,内容全面,结构很清晰,是初学者必备的资料,也是其它层次学者的好参考资料

2008-11-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除