• 博客(0)
  • 资源 (18)

空空如也

《数字IC设计:方法技巧与实践》唐杉,徐强,王莉薇 著

《数字IC设计--方法、技巧与实践》唐杉,徐强,王莉薇 著 此书的完整电子版,本人从淘宝上花钱买的,在此奉献大家。 目录 前言 第1章:背景知识 集成电路工艺、分类和设计方法的演进 集 成电路工艺介绍 集成电路的分类 集成电路设计方法的演进 目前面临的问题和发展方向 物理综合技术 设计重用和SoC设计 片上网络 FPGA动态可重构技术 提高设计的抽象层次 本书的内容和范围 参考文献 第2章:芯片设计流程和工具 需求分析和需求管理 算法(Algorithm)和构架设计 模块设计和RTL实现 综合(Syntlaesis) 时序验证 原型验证 后端设计 生产测试(Mantlfacturing Test) 工具的作用 参考文献 第3章:构架(Architeeture)设计 芯片构架选择和设计 软硬件划分 功能模块的划分和接口定义 IP选择和设计 模块互连机制的选择和设计 构架建模和仿真 芯片设计的特殊考虑 芯片制造商和工艺的选择 设计的层次化 时序闭合性设计 可调试性设计 可测性设计 可验证性设计 低功耗设计 封装和引脚 制定构架(或功能)规范 制定功能验证计划 功能验证的基本概念和方法 随机测试(]Random Test) 衡量功能验证的质量 参考文献 第4章:RTL级设计和仿真概念 RTL代码编写的规则 通用规则 VHDL设计规则 Venlog设计规则 使用HDL检查工具对RTL设计规则进行检查 RTL级设计与综合及后端设计的关系 RTL级设计的综合结果 在RTL编码中考虑时延 在RTL编码中考虑面积问题 在RTL编码中考虑功耗问题 在RTL编码中考虑可测性问题 在RTL编码中考虑布线问题 根据综合结果改进RTL级设计 典型设计应用 时钟和复位 状态机 存储单元 寄存器空间和CPU接口的设计 双向信号和内部总线 增强代码的可移植性 基本逻辑单元设计 RTL级设计的仿真验证 与仿真相关的概念 仿真竞争(Simulation Race) 仿真中的常见问题和解决 参考文献 第5章:逻辑综合和相关技术 综合的概念和流程 逻辑综合 等效性检查 静态时间分析 布局布线和验证 使用DC进行综合 预备知识 准备HDL文件 确定设计库 DC对设计的一些操作 定义设计的环境 定义设计约束 设计优化 分析和解决设计中存在的问题, 扫描综合 扫描替换和扫描链组装 自底向上和自顶向下的扫描插入 如何获得最好的测试结果 边界扫描(BoLmdary Scan) 静态时间分析 PT基础 PT基本操作 Prelayout静态时间分析 Post-layout静态时间分析 静态时间分析报告 等效性检查 基本概念 Fomality基础 Fomality的一些关键概念 参考文献 第6章:芯片设计的项目管理 项目计划 功能、性能、成本以及设计周期的权衡 项目策划的原则 项目策划的流程 项目计划(project plan)的内容 挑选项目成员 项目控制与度量 项目跟踪与控制 芯片设计生产率的度量 缺陷分析 风险管理 风险评估 风险最小化 数据管理 数据管理规则 芯片设计文档 配置管理 芯片设计的质量保证 质量保证的主要功能 质量保证活动的管理 项目中的评审 参考文献

2013-05-15

LabVIEW数据采集编程指南2 中篇

LabVIEW数据采集编程指南2 中篇 pdf

2012-10-19

LabVIEW数据采集编程指南1 上篇

LabVIEW数据采集编程指南1 上篇 pdf

2012-10-19

清华安捷伦ADS中文培训教程

清华安捷伦ADS中文培训教程

2012-10-19

精通MATLAB 7_0混合编程

精通MATLAB 7_0混合编程 杨高波

2012-10-19

射频天线 麻省理工原版讲义 David 教授

射频天线 麻省理工原版讲义 David 教授

2012-10-19

LabVIEW 学习札记 - 第3卷 上

LabVIEW 学习札记 - 第3卷 上

2012-10-17

LabVIEW 学习札记 - 第2卷

LabVIEW 学习札记 - 第2卷 pdf

2012-10-17

LabVIEW 学习札记 - 第1卷 下

LabVIEW 学习札记 - 第1卷 下 pdf

2012-10-17

LabVIEW 学习札记 - 第1卷 上

LabVIEW 学习札记 - 第1卷 上 pdf

2012-10-17

LabVIEW 8.20程序设计从入门到精通

LabVIEW 8.20程序设计从入门到精通,课件,PPT,共14章

2012-10-17

FPGA轻松入门实验

FPGA实验手册,包含LED灯,按键,SPI输出,UART输出,PC机通信,SDRAM,VGA,SD卡等等

2012-09-15

数字系统设计_Quartus_Ⅱ的Verilog_HDL建模与仿真PPt

数字系统设计_Quartus_Ⅱ的Verilog_HDL建模与仿真 PPt 详细讲述了Quartus_Ⅱ的使用,一步步讲解,适合初学者,高手勿下

2012-09-15

《Verilog HDL程序设计教程》王金明

《Verilog HDL程序设计教程》王金明 编著,完整版pdf, 本书对Verilog HDL程序设计作了系统全面的介绍,以可综合的设计为重点,同时对仿真和模拟也作了深入的阐述。 本书以Verilog-1995标准为基础,全面介绍了Verilog HDL的词法、语法、语句,可综合程序的编写,仿真程序的编写,一般数字逻辑的实现,复杂逻辑和算法的实现等,讨论了设计中的方法与技巧,并以大量经过验证的设计实例为依据,深入浅出地阐述了Verilog程序开发所涉及的各个方面。

2012-09-07

74系列数字集成电路手册

史上最全的74系列数字集成电路手册,共4034页

2012-09-04

ModuleSim_SE_快速入门

ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程序进行仿真,支持IEEE常见的各种硬件描述语言标准。目的是希望看完本章,读者可以简单地使用ModelSim进行仿真

2012-08-31

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除