自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

叮咯咙咚呛36的博客

DIGITAL IC DESIGNER

  • 博客(74)
  • 资源 (16)
  • 收藏
  • 关注

原创 NR R17 标准梳理,再战5G,未来可期(转载)

5G R15 NR

2022-06-24 20:17:58 2086 1

原创 ARM SOC体系结构阅读笔记 四

1 存储器访问速度微处理器,RISC一般有32个32位寄存器,共128字节,访问时间几个ns;片上cache存储器的容量8~32KB之间,访问时间大约为10ns;高性能桌上系统可能有第2级片外cache,几百KB,访问时间几十ns;主存储器可能是几MB到几十MB的动态存储器,访问时间大约100ns;后援存储器,通常是硬盘,几百MB到几个GB,访 问时间为几十ms;2 片上存储器片上RAM:简单便宜功耗低片上RAM使程序员能根据对将来处理工作量的了解来划分RAM的空间;3

2022-06-01 11:26:57 226

原创 ARM SOC体系结构阅读笔记 三

1 数据处理指令2 乘法指令3 前导0计数将Rd设置为1的最高有效位的位置数。如果Rm为0,则Rd设置为32。4 数据传送指令5 传送指令6 断点指令用于软件调试,使处理器停止执行正常指令而进入相应的调试程序。...

2022-05-31 16:11:44 126

原创 ARM SOC体系结构阅读笔记 二

ARM指令集1 数据类型ARM支持6种数据类型,指令全是32位的字,且必须时字对准的。8位有符号和无符号字节;16位有符号和无符号字,以2字节的边界对准;32位有符号和无符号字,以4字节的边界对准;2 异常1)异常分类指令执行引起的直接异常,包括软件中的,未定义指令和预取指令中止等指令执行引起的间接异常,包括数据中止(ld/st异常)等外部产生的与指令流无关的异常,包括复位,irq,fiq等2)异常处理-进入与特定的异常相应的操作模式-将引起异常指令的下一

2022-05-31 11:51:47 182

原创 ARM SOC体系结构阅读笔记 一

1. ARM汇编语言1)简单的寄存器操作ADD r0,r1,r2; 加法,r0 =r1 + r2;ADC r0,r1,r2; 带进位的加法,r0 = r1+r2+CSUB r0,r1,r2; 减法,r0 = r1 -r2;SBC r0,r1,r2; 带进位的减法,r0 = r1 -r2 +C -1RSB r0,r1,r2; 反向减法,r0 = r2 - r1RSC r...

2022-05-30 17:55:54 445

原创 现代处理器设计学习笔记(二)

1 流水线局限性1)流水线地每一段可以看作是一个组合逻辑F加上一个锁存器L,信号通过F后在L锁存;T_MAX通过F地最大传输延迟;T_MIN通过F地最小传输延迟;T_L正确建立时钟信号所需要地额外时间,包括必要地建立和保持时间。时钟周期 T > T_MAX - T_MIN + T_LT_MAX - T_MIN可以趋向于0(延长最小传输路径)T_L受整个系统内时钟信号分布影响;性能权衡:流水线深度和价格;2 指令流水线...

2022-05-28 16:00:00 180

原创 现代处理器设计学习笔记(一)

1)基本概念ISA:指令集体系结构 Instruction-Set Architecture不同类型的ISA不同点主要集中在如何定义操作类型以及操作数上。ISA定义一套汇编指令,每条指令都指定一种操作类型和一个或多个操作数。2)处理器性能公式指令/程序:instruction/program,特定的程序需要执行的动态指令的数目周期数/指令:cycles/instruction,平均执行每一条指令需要耗费的时钟周期数一般由CPI表...

2022-05-26 11:30:27 281

原创 MIPS指令集 部分信息收集

重温cpu相关处理,回顾MIPS指令集信息,32bit,从网上摘抄,仅供参考。R系列31-26 25-21 20-16 15-11 10-6 5-0 操作符 源操作数寄存器1 源操作数寄存器2 目的操作数寄存器 位移量 操作符附加段 I系列31-26 25-21 20-16 15-0 操作符 源操作数寄存器 目的操作数寄存器 立即数 J系列...

2022-05-24 17:46:47 59

原创 vivado错误识别汇总

1)ordered port connections cannot be mixed with named port connection例化 最后多带了,2)

2022-05-22 10:43:13 777

原创 回归CSDN

海思modem一个周期内不能记录博客,出来回归CSDN,记录一下。

2022-05-19 22:14:02 58

转载 LTE学习笔记之CSI(Channel State Information)

基本概念CSI 是信道状态信息, Channel Status Information, 它是一个衡量信道好坏的指标。 有三个参数:CQI,PMI和RI。根据网络状态和配置,这三个参数通过不同形式的组合成为CSI上报,不一定三个参数全都上上报。FAQCSI是一个很复杂的概念,本笔记通过基本问题只是给出基本理解。1. UE如何上传CSI?(1)UE不一定总是发送CSI,甚至可以不发C...

2018-11-17 16:22:49 2489

转载 C语言全局变量和局部变量总结

https://blog.csdn.net/u013355826/article/details/53224303 ————————————————————————————————————————————————————————————————————————————— 只有不断的学习,不断的进步,才能不被替代! 只有你的不可替代性才决定你的价值! -----201...

2018-08-28 15:01:10 9074 2

转载 数字后端面试问题(1)

001)Why power stripes routed in the top metal layers?为什么电源走线选用最上面的金属层?因为顶层金属通常比较厚,可以通过较大的电流1.高层更适合globalrouting.低层使用率比较高,用来做power的话会占用一些有用的资源,比如std cell 通常是m1 Pin 。2. EM能力不一样,一般顶层是低层的2~3倍。更适合电源布线。3.一般...

2018-07-09 15:35:17 2016

转载 IC设计前端到后端的流程和eda工具

转自https://blog.csdn.net/zhuzhiqi11/article/details/38511739IC前端设计(逻辑设计)和后端设计(物理设计)的区分:以设计是否与工艺有关来区分二者;从设计程度上来讲,前端设计的结果就是得到了芯片的门级网表电路。前端设计的流程及使用的EDA工具如下:1、架构的设计与验证:按照要求,对整体的设计划分模块。   架构模型的仿真可以使用Synopsy...

2018-07-09 15:32:26 5260

转载 IC设计基础系列之CDC篇12:异步FIFO设计资源推荐

https://blog.csdn.net/times_poem/article/details/73437984一、来自cnblogs的设计,均参考一篇外文论文。有代码。异步fifo的设计(FPGA)http://www.cnblogs.com/aslmer/p/6114216.html异步FIFO的FPGA实现http://www.cnblogs.com/BitArt/archive/2013...

2018-07-09 15:01:41 548

转载 IC设计基础系列之CDC篇3:揭秘《跨时钟域处理》三大方法

来自:http://dengkanwen.com/238.html 跨时钟域处理是FPGA设计中经常遇到的问题,而如何处理好跨时钟域间的数据,可以说是每个FPGA初学者的必修课。如果是还在校的本科生,跨时钟域处理也是面试中经常常被问到的一个问题。在本篇文章中,主要介绍3种跨时钟域处理的方法,这3种方法可以说是FPGA界最常用也最实用的方法,这三种方法包含了单bit和多bit数据的跨时钟域处理,学会...

2018-07-09 14:57:35 2140 1

转载 LTE网络主要接口包含信息概述

接口类型包含主要信息Uu信令面/用户面1、RRC信令消息;2、测量报告;3、广播消息;4、异常流程X2信令面/用户面1、Inter-eNB 切换;2、eNB直接交换无线质量测量信息S1-MME信令面1、  上下文信息(IP地址、UE能力等);2、  用户身份信息(IMSI或TMSI、GUTI等);3、  切换信息、位置信息(小区、TAC等);4、  E-RAB承载管理信息;5、  NAS信息(用户...

2018-05-05 11:11:57 793

转载 linux cp详解

linux复制指定目录下的全部文件到另一个目录中复制指定目录下的全部文件到另一个目录中文件及目录的复制是经常要用到的。linux下进行复制的命令为cp。假设复制源目录 为 dir1 ,目标目录为dir2。怎样才能将dir1下所有文件复制到dir2下了如果dir2目录不存在,则可以直接使用cp -r dir1 dir2即可。如果dir2目录已存在,则需要使用cp -r dir1/. dir2如果这时...

2018-05-04 11:54:59 1455

转载 LTE中基本通信过程的理解——小区搜索过程

小区搜索过程1.       UE开机,在可能存在LTE小区的几个中心频点上接收信号(PSS),以接收信号强度来判断这个频点周围是否可能存在小区,如果UE保存了上次关机时的频点和运营商信息,则开机后会先在上次驻留的小区上尝试;如果没有,就要在划分给LTE系统的频带范围做全频段扫描,发现信号较强的频点去尝试;2.       然后在这个中心频点周围收PSS(主同步信号),它占用了中心频带的6RB,因...

2018-05-02 16:05:06 7159

转载 逻辑信道、传输信道、物理信道

逻辑信道lBCCH: 下行广播控制信息lPCCH: 下行寻呼信息lCCCH: 在RRC连接建立前UE与网络之间的双向控制信息lMCCH: 控制一个或者多个MTCH的控制信息,只有支持MBMS才有该信道lDCCH: RRC连接建立后UE到网络之间的双向控制信息lDTCH: 点到点的双向业务信息.传输信道lBCH: 固定MCS, 广播lDL-SCH: 支持HARQ,AMC, 可以广播, 可以波束赋形,...

2018-05-02 16:04:13 4993

转载 LTE中基本通信过程的理解——下行调度

原文:http://hi.baidu.com/rdhome/blog/item/f038fb59bf2d2b262834f044.html教学相长,在原文基础上不断深入细化,促进相互进步。下行调度1. 下行信道质量测量ENB发送cell specific reference signal 给UE,UE估计CQI并上报给ENB。【这里有点不太准确,我的理解:cell specific referen...

2018-05-02 16:02:55 2958

原创 《通信原理基础》学习笔记(11-12)

基带脉冲与数字信号(续4)1、频谱效率数字信号的频谱效率是单位时间内每赫兹的带宽所能传输的数据比特数随着L的增大,多进制信号的频谱效率增高,但是L的增大会伴随着数字信号抗噪声能力的减弱,实际环境中不可能没有噪声,不能任意增大L;2、码间串扰ISI是由于系统传输总特性不理想,导致前后码元的波形畸变、展宽,并使前面波形出现很长的拖尾,蔓延到当前码元的抽样时刻上,从而对当前码元的判决造成干扰;发送滤波器...

2018-04-12 21:35:21 1621

转载 奈奎斯特三大准则

https://www.cnblogs.com/ty10114g/archive/2014/03/23/3619864.html数字信号在传输过程中产生二种畸变:叠加干扰与噪声,出现波形失真。瑞典科学家奈奎斯特在1928年为解决电报传输问题提出了数字波形在无噪声线性信道上传输时的无失真条件,称为奈奎斯特准则。    奈奎斯特三大准则:    奈奎斯特第一准则:抽样点无失真准则,或无码间串扰(ISI...

2018-04-10 22:01:33 7192 1

原创 《通信原理基础》学习笔记(9-10)

基带脉冲与数字信号(续3)1、差分编码技术绝对码:由一个特定的波形代表一个传输数据;在极性绝对码的传输过程中,极性是不能反转的。长距离传输比较困难;差分编码技术(相对码):(differential encoding)指的是对数字数据流,除第一个元素外,将其中各元素都表示为各该元素与其前一元素的差的编码。模二加:这是一种二进制的运算,等同于“异或”运算。 通常用于计算机和电子领域。规则是两个序列按...

2018-04-08 21:47:52 1399

原创 《通信原理基础》学习笔记(7-8)

基带脉冲与数字信号(续2)2、数字信号这里,以后提及的比特率仅仅限指于二进制信号这里,转换表是自己定义的。。。。3、线路码及其频谱讲义至 9-00-35-07...

2018-04-04 16:15:04 227

原创 读书笔记 Advanced FPGA(8)Implementing Math Functions

//对需要调用IP core的乘除法来说,做好仿真最重要,包括运算周期的仿真和控制信号的设置This chapter covers a variety of problems encountered when an FPGA designer attempts to implement a complex math function in an FPGA. Interestingly, most ...

2018-04-03 17:33:55 207

原创 《通信原理基础》学习笔记(5-6)

基带脉冲与数字信号(续)1、脉冲编码调制(PCM Pulse Code Modulation)传输带宽的增加,资源的消耗换来引入噪声较小,信噪比改善,传输距离增长;

2018-04-03 15:52:28 347 1

原创 体检小记——身体是革命的本钱

身体才是革命的本钱今天去做入职体检,在做肝脏彩超时,看到前边的一位哥们,肝部长了东西,需要进一步确认,又听到大夫说,再前一位也是有阴影,待确认。。。。。。。。。。轮到自己时,躺着,忐忑不安,胡思乱想。。。。轻度脂肪肝,其他都没问题。。还好,只是轻度脂肪肝,心里的石头落地了。虽是小记,但也给自己提个醒,身体好,才能有谈工作谈生活,尤其是现在将要入职的公司,号称加班强度NO1,更需要自己注意锻炼身体!...

2018-04-03 13:57:48 338 2

原创 一个IC DESIGNER的进阶之路(2)

————【爱芯人】SOC验证全局观(经验漫谈) 学习笔记SOC 验证全局观—Verification Gossip 1)bus fabric function2)Low Power Function             3)system performance4)system connection5)Debug6)小结1)flow automation2)simulation speed ac...

2018-04-02 16:57:07 759

原创 一个IC DESIGNER的进阶之路(3)

《IC设计制造流程培训.pdf》《[EDA工程的理论与实践:SOC系统芯片设计].曾繁泰.高清版.pdf》前言部分读书笔记1、基本概念1)微电子技术:是建立在以集成电路为核心的各种半导体器件基础上的高新电子技术,特点是体积小、重量轻、可靠性高、工作速度快,微电子技术对信息时代具有巨大的影响。包括:芯片制造技术、计算机辅助设计与辅助测试技术、掩膜制造技术、材料加工技术、可靠性技术、封装技术和辐射加固...

2018-04-02 15:51:33 713

原创 《通信原理基础》学习笔记(4)

信号与频谱(续)和 基带脉冲与数字信号

2018-04-02 14:02:11 334

原创 《通信原理基础》学习笔记(3)

信号与频谱

2018-03-31 23:57:24 366

原创 《通信原理基础》学习笔记(2-2)

1、常见函数2、傅里叶变换及频谱

2018-03-29 23:11:21 357

原创 《通信原理基础》学习笔记(2)

1、直流分量与交流分量2、功率与幅度3、分贝值

2018-03-29 22:49:17 457

原创 《通信原理基础》学习笔记(1)

转载自某视频教程,如有需要视频或有侵权异议,请留言。

2018-03-27 21:40:55 2865 1

原创 一个IC DESIGNER的进阶之路(1)

• IC工程师的职责是什么?——IC工程师的职责就是按期Tape Out质量合格的芯片• IC工程师的特质是什么?——如临深渊,如履薄冰,战战兢兢,小心翼翼——剔除所有侥幸心理——具体体现为:       在一个新的技术被反复证明有效之前,选择稳妥的方法;       工作前几年,完全按照公司规范来做,让别人分不清是你写的还是别人写的;tapeout,也称tape-out,是半导体行业,或者说是集...

2018-03-26 22:26:49 832

原创 IC 芯片设计(8)

勉励准备进入数字IC设计行业的自己,天道酬勤!

2018-03-25 22:57:56 365 1

原创 IC 芯片设计(7)

CDC(clock domain crossing)DVFSDVFS 即动态电压频率调整,动态技术则是根据芯片所运行的应用程序对计算能力的不同需要,动态调节芯片的运行频率和电压(对于同一芯片,频率越高,需要的电压也越高),从而达到节能的目的。DPS动态功耗调节(DPS):DPS就是一个在需要时启用电子元件、在不需要时禁用电子元件的动态过程...

2018-03-25 22:32:10 269

转载 什么是良好的Verilog代码风格?

http://kellen.wang/zh/blog/2015/03/03/what-is-good-verilog-coding-style/1. 前言前段时间在公司负责制定代码规范,费了九牛二虎之力,终于整理出来一份文档。由于保密规定的缘故,无法与大家直接分享这份文档,但是文档中的大部分规范都是我自己长期总结出来的,在这里也与大家分享一下。2. 代码示范为求直观,首先贴上一份示范代码,然后我再...

2018-03-21 22:51:15 2603 2

原创 IC 芯片设计(6)

电路基础1、为什么要有时序逻辑?而不全是组合逻辑?2、verilog coding style时序逻辑:非阻塞赋值,可以没有else,无else会默认保持组合逻辑:阻塞赋值,不能够没有else,会有latch如果有写错,可能会报一些奇葩的error、warning3、在一个always中,一般不允许同时posedge clk or negedage clk     如果分开, 两个always,按...

2018-03-21 22:17:14 282

asip brochure

ASIP是synopsys提供的一种快速迭代处理器设计的解决方案,目前国内应用在5G基带(海思),AI算法(云飞)等方向。

2022-05-28

ASIP designer 概论

ASIP是synopsys提供的一种快速迭代处理器设计的解决方案,目前国内应用在5G基带(海思),AI算法(云飞)等方向。

2022-05-28

机器学习书籍汇总_1.rar

机器视觉算法与应用.pdf; 机器学习实战.pdf 计算机视觉_一种现代方法.pdf 面向机器智能的TensorFlow实践 (智能系统与技术丛书).pdf 最完整的机器视觉培训教程(书签版).pdf

2018-04-02

ARM SoC体系结构(中文版)

本书的特点是将基于ARM微处理器核的SoC设计和实际嵌入式系统的应用集成于一体,对于基于ARM核的SoC设计和嵌入式系统开发者来说是一本很好的参考手册

2018-03-20

Advanced FPGA Design

FPGA高级设计,讲解FPGa设计的高级技术,非常实用。清晰版 (Senior FPGA design, FPGA design on high-level technology, very useful. Clear version)

2018-02-26

无线通信FPGA设计及源码.rar

无线通信FPGA设计及源码.rar,,,,,matlab 和FPGA代码均有

2018-02-25

在ARM Linux下使用GPIO模拟SPI时序详解

在ARM Linux下使用GPIO模拟SPI时序详解.pdf

2018-02-25

Cadence高速电路板设计与仿真(第4版)——原理图与PCB设计.pdf

本书以Cadence Allegro SPB 16.2为基础,以具体电路为范例,详尽讲解元器件建库、原理图设计、布局、布线、仿真、CAM文件输出等PCB设计的全过程,包括原理图输入及器件数据集成管理环境的使用,中心库的开发,PCB设计工具的使用,以及高速信号仿真工具的使用等。无论是对前端设计开发(原理图设计),还是对PCB板级设计,以及PCB上的高速电路分析,本书都有全面的参考和学习价值。 本书适合对PCB设计有一定基础的中、高级读者阅读,也可作为电子及相关专业PCB设计的培训用书,还可作为高级电子产品研发人员的技术参考书。

2018-02-24

通信IC设计 下

本书系统讲解了基带芯片的理论与设计实现。全书内容涉及通信IC设计知识、通信系统基础知识以及通信相关的理论知识等基础知识;还有广播基带芯片、无线(WiFi)基带芯片、LTE基带芯片的设计实现等实战知识。

2018-02-23

68个标记点的dlib官方人脸识别模型,用于构建dlib的特征提取器

68个标记点的dlib官方人脸识别模型,用于构建dlib的特征提取器

2018-01-03

dlib官方人脸识别模型,用于构建dlib的特征提取器(predictor)

shape_predictor_5_face_landmarks.dat.bz2 /5个标记点的dlib官方人脸识别模型,用于构建dlib的特征提取器(predictor)

2018-01-03

RapidIO-3.1-Specification

The RapidIO 3.0 specification, know as 10xN, increases single lane speed to 10 Gbit/s and support up to 16 lanes operating in parallel

2015-12-28

冈萨雷斯数字图像处理matlab版源码

冈萨雷斯数字图像处理matlab版源码.

2015-12-28

按键控制 verilog程序 钢琴演奏 fpga

钢琴演奏 fpga 按键控制 verilog程序,已验证,代码简单易懂,适合verilog验证学习。

2014-04-29

verilog学习资料

初学者适用,verilog一些基本资料,打包

2013-01-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除