自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(11)
  • 资源 (8)
  • 收藏
  • 关注

原创 vitis2020.1字体设置正常显示中文

Preferences==>General==>Appearance==>Color and Fonts==>C/C++==>Editor==>C/C++ Editor Text Font,点击Edit...按钮,将Consolas改为Ebrima,即可正常显示中文!

2024-01-12 10:31:45 433 1

原创 临时笔记文件---约束和DFX

优先使用set_clock_uncertainty约束时钟,而不是提高频率。时序报告:report_design_analysis,可能提出一些建议。methodology_checks以及timing_checks。区域应尽量小,且不重叠;消灭critical warning;先不做IO约束,内部收敛后再做;小容量适合用lut RAM;流水寄存器可提升DSP速率;大扇出的起点应该是寄存器;

2023-12-10 15:38:22 382 1

原创 fpga技术日

2023-11-30 21:04:50 364

原创 临时笔记文件4--FIR和FFT

使用HLS也可以生成滤波器,但是要引用已经生成好的滤波器系数,且仿真时无法生成波形,只能看看输出的数据(以文件形式);过渡带带宽(HZ);在simulink中,使用fda tools设计和仿真;

2023-10-25 14:44:21 51

原创 heijin_linux基础笔记---已同步

1)按照平台的方式,在vivado中将xsa文件导出,在ubuntu中配置petalinux工具并编译创建linux工程,再创建vitis工程导入xsa文件以及linux的文件,编译后再创建应用程序,再编译完成后放到SD卡上运行;3)或者,使用挂载方式,让FPGA运行上位机中的程序(交叉编译过的程序,如果是用vitis开发的,那么就是交叉编译的);1)在ubuntu下,写应用程序(可使用vitis),编译并直接运行,作为仿真结果;2)可以通过上位机的串口终端软件,运行FPGA的linux中的应用程序;

2023-10-13 17:57:27 66

原创 定点数计算---已同步

256 + 65536(补码) ==>16’hFE80(verilog赋值时应写-16’sh0180(负号+原码),或16'hFE80(补码),而不是写-1.5,因为信号声明是。-2 ==> -2 + 65536 ==> 65534,即有符号数 '-2' 的补码是65534(16'hfffe,或 -16'sh2,或 -16'sh0002)对于16'h0240,整数部分是2,小数部分是8'h40,小数部分换算为十进制小数,为8'h40 = 64 = 0.25 *256,即:十进制小数是0.25。

2023-09-26 14:37:20 60

原创 3x+1除2猜想的证明(转载本文请注明出处)

即以减法方式表达任意整数A=2^(k+m+n+p+...)-2^(m+n+p-1)-2^(n+p-1)-2^(p-1),其中m,n,p都是整数,可以为0;2)因为:把奇数变为二进制表达后(简化为2^0+2^a形式),任意奇数与其内核相加后,除以2^m次方,得到新的奇数和内核,该新奇数加新内核,依然等价于一个奇数除以2^m次方,然后+0.5;(m可以为0,以便整除)1)猜想等价于:对于任意整数A,除以2^m次方(如果A是奇数或带小数,则m=0),然后+0.5,不断迭代,极限必然是2^k;

2023-09-25 11:04:37 65 1

原创 浮点数计算---已同步

小数部分:F = b0.b1b2...bf-1=1*2^0 +1*2^(-3) + 1*2^(-4) + 1*2^(-8) + 1*2^(-9) + 1*2^(-13) + 1*2^(-16) + 1*2^(-19) + 1*2^(-20) + 1*2^(-21) =1.1935(逗号左边是b0,往右依次是b1....bf-1)e = (2^7 + 2^0) =129(指数部分的第0位和第7位是1,左边是e7,右边是e0)E=e-(2^7 -1)=2(指数部分的宽度是8,所以此处是7)

2023-09-25 11:01:33 25

原创 学编程的方法

3)结合代码所用单词理解其含义,或者百度;2)如果代码没有注释,在软件中打开查看;4)实在理解不了的,使用时照搬;1)直接看代码,语法学习为辅;5)照搬都不会,那一定用不上。

2023-09-22 16:52:49 19

原创 heijin_linux驱动笔记---已同步

虚拟平台模型分为platform_bus,platform_driver,platform_device,可实现驱动设备分离功能,可根据驱动和设备的属性,自动匹配。可以这样理解:设备树提供了设备信息,驱动程序到设备树去查找并根据这个设备信息去初始化和注册设备,创建设备节点文件,然后应用程序去操作设备节点文件,最终操作设备。在虚拟平台模型下,可以使用设备树替代platform_device,此时,platform_driver中的属性参数要去和设备树匹配;并且可以把设备节点文件的创建和删除也放到驱动代码中。

2023-09-22 16:41:46 39

原创 sift特征提取笔记---已同步

2)采用不同的尺度下的高斯差分图像,是为了在不同尺度下,比较图像之间的特征点。如果一张图像比另一张放大了或缩小了,那么可以比较它们的不同尺度下的特征点。3)特征点的要素:极值点;其它手段,如:阈值、曲率等等用于剔除不合条件的点;拟合用于提取最大值位置;4)特征点的描述:该关键点附近的各个点的幅度和角度,组成向量,128维最佳;对于角度,要统计主方向,然后将特征向量旋转到主方向,这样,向量特征都是从主方向算起,能保证旋转不变性。5)特征点的比较和匹配:计算两个特征点向量之间的欧式距离,A与A’的距离 除以 A

2023-09-22 16:36:26 36

VESA_Monitor_Timing_Standard-Version_1.0,_Revision_12p-2008.pdf

vesa定义的各种显示分辨率标准的时序参数,可供工程师们使用

2021-11-30

xilinx vivado HSSIO IP core:native&component mode介绍

FPGA开发:high speed selected io IP:native mode and component mode

2021-07-28

通达信基础浮动盈利(BPR)指标公式源代码!

高仿天狼50软件的基础浮动盈利(BPR)指标!你识货吗?赶紧下载啊!选股用得上!该指标为1到3之间时,可能代表主力高控盘但还没开始主升浪!记得前复权行情哦!

2020-03-15

KLMBG4GE4A-PDF

KLMBG4GE4A-PDF

2014-09-17

汇编语言实现单片机2位计算器

支持按键扫描和LED数码管显示。内含多种码型格式转换

2014-06-14

51单片机水温加热控制程序

用汇编语言写的单片机水温控制程序,带按键扫描和LED数码管显示功能。可以用按键设置水温,8段LED数码管可以显示设置的水温值和加热状态。

2012-08-29

51单片机实现的2位计算器程序

用汇编语言写的2位计算器程序,能实现加减乘除四种运算。内含码型转换、按键扫描、8段LED数码管显示等程序段。

2012-08-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除