自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 资源 (2)
  • 收藏
  • 关注

原创 Xilinx SRIO ID

Xilinx SRIO IP核里可以看见3个ID,source_id,deviceid,dest_id。dest_id是数据要发送至的目的ID。source_id和deviceid是本地ID,由id_override选择使用哪一个作为本地ID,如果id_override=1,则选择source_id(source_id可以有用户设置),如果id_override=0,则选择deviceid(dev

2016-03-26 13:29:19 4246

原创 Verilog DAC8568

FPGA控制DAC8568采用Verilog语言编写。

2015-04-12 22:30:31 4646 3

原创 DSP C6748 与 FPGA 通讯方式的选择

最近刚接触TI 的C6000 DSP平台,购买了“广州创龙”的“TL138_1808_6748-EVM”开发板,该开发板由核心板与底板组成,可以方便测试,并提供了大量的例程关键是有视频教程,就像十天学会单片机的视频一样比较适合初学者入门。现在想自己做个底板,对于DSP与FPGA采用哪种接口方式还是比较纠结。创龙官方既提供了EMIFA的例程也提供了UPP的例程。本人之前对EMIFA于FPGA通讯有所

2015-04-09 18:28:47 6647

Verilog DAC8568

Verilog 语言写的控制 DAC8568 的模块,DAC8568 是SPI接口。

2015-04-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除