自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(35)
  • 收藏
  • 关注

原创 modelsim代码覆盖率

ModelSim代码覆盖率功能Code coverage,能报告出statement(语句) 、branch(分支)、condition(条件)、 expression(表达式)、toggle(信号反转)、fsm(有限状态机)等多种覆盖率情况,进一步提高了测试的完整性。FEC 是 focused expressions/condition 的缩写,FEC Conditions 表示条件表达式中条件分支被执行的比率,FEC Expressions 表示条件表达式中表达式被执行的比率。

2024-03-25 19:38:28 380

原创 modelsim更新module模块步骤

1、modelsim中打开library,找到xil_defaultlib(包含开发的module文件)sim时:修改了开发的代码,需要同步到modelsim中。2、右击该module文件,选择recompile。

2023-06-27 14:32:36 8

原创 编译vivado仿真库

编译时工具提示发现错误,编译失败,查看log,根据log的错误编号上网搜索,说是modelsim.ini问题。使用everything工具搜索电脑所有的该文件,只保留modelsim安装路径下的ini。再次编译库,成功了。

2023-06-20 11:47:07 266

原创 Verilog语法符号运算

逻辑运算符用在条件判断上。位运算符用在信号赋值上。

2023-03-22 16:56:44 96

原创 高速串型收发器

GTP 6.6GGTX 12.5GGTH 13.1GGTZ 28.05G。

2023-03-16 09:30:44 104

原创 DACAD9122小结

1 可旁路内部NCO,将输入的两路数字信号转换输出两路模拟信号,输入的两路信号可以为两路数字中频信号,也可以为正交IQ信号,那么对应的dac输出两路中频信号或正交IQ信号。2 使用内部NCO, 输入IQ信号,9122内部完成正交调制并输出和频或差频信号。3 内部集成4个内插器,可提高输入数据采样率,输出端集成反sinc滤波器,使DAC输出信号更平滑,缓解了由于量化带来的台阶误差。

2022-11-24 12:29:26 739

原创 诗集 香臭

香中飘着臭,臭中亦含香。是香还是臭?亦臭也亦香。

2022-01-23 21:47:40 227

原创 诗集 懒虫

日上三竿不觉早,太阳公公将我扰。恍恍惚惚又一梦,清晨时光最珍宝。

2022-01-23 21:45:37 78

原创 使用时钟管理芯片输出的时钟做ila的工作时钟

项目里遇见的实际问题:板卡上使用9516做时钟管理器,输出的其中一路差分时钟连接到FPGA,FPGA内部处理:对该时钟做差分到单端的转换,再使用bufg对其进行缓冲,使用该时钟做ila核的工作时钟。将bit烧写到FPGA后窗口提示ila核无时钟的提示,导致调试用的vio及ila无法弹出,工程无法调试。问题分析:提示无时钟,分析时钟来源,ad9516上点后需要进行配置,从配置到输出稳定存在一定时间,FPGA的ila无法从bit烧写完毕后立刻得到时钟,因此提示我ila核无驱动时钟,顺着这个思路,对ila核时钟

2021-12-25 22:27:24 1003 2

原创 ucf转xdc

ise先把ucf导出来,导出格式为csv,具体步骤见图片。vivado将ise导出的csv文件

2021-12-01 21:11:46 767

原创 关于vivado及mcroblaze联合设计时问题记录

课题一 《点亮LED实验》1、vivado使用block design 搭建好硬件平台、添加约束、编译生成bit文件;2、导出硬件平台,(McroBlaze开发使用的平台)。步骤:File->Export->Export Hardware,保存到软件默认的路径下。3、使用vivado打开SDK。步骤:File->Launch SDK。在SDK下创建新的工程,编写好所需的函数,编译通过无错误的前提下才可以进行调试。4、调试:下载bit文件到FPGA,步骤:SDK平台下,Xilinx-

2021-10-26 16:59:38 208 1

原创 modelsim仿真

modelsim仿真注意事项:1 仿真文件必须加时间尺度 -timescale 1ns/1ps2 modelsim.ini问题,工程中的ini文件可以注释掉,当其里边的文件指向不对时。20200913

2021-09-13 19:14:55 177

原创 vivado fifo

vivado fifo ip核的调用及使用使用宏定制fifo时需要注意“深度”参数的设置值及实际值:差值为2使用到的控制信号主要有:满信号、将满信号、空信号、将空信号;下图是使用控制信号完成的读写端口的逻辑代码。...

2021-03-16 16:53:27 559

原创 调制解调系列(1) IQ调制(理论推导+工程实现(FM))

IQ调制:正交调制,IQ调制可以做出所有的调制方式。FM:Ufm=UcmCos(Wct+mfsinWst) ;三角函数分解得FM:Ufm=UcmCos(Wct)Cos(mfsinWst) - UcmSin(Wct)Sin(mfsinWst)UcmCos(mfsinWst) 和 UcmSin(mfsinWst)可以分别看做是Cos(Wct)及Sin(Wct)的幅度Q(t)、I(t),这样FM就变成了FM:Ufm=Q(t)Cos(Wct) - I(t)Sin(Wct);其中参数说明Q(t)= UcmC

2020-07-29 10:37:49 4837 1

原创 altera cic IP使用注意问题

CIC:积分梳状滤波器可以从窄带源抽取基带信号(抽取操作),或者是根据处理后的基带信号构造窄带信号(插值操作)。广泛用于采样速率转换,例如DUC和DDC。

2020-07-22 15:42:17 475

原创 altera nco IP的使用

NCO:数控振荡器,可以用作IQ混合器中的积分载波生成器,也可以使用NCO实现载波同步。实现NCO时需要考虑的参数有:频谱纯度(输出精度增加一位,SNR提高6dB)、最大输出频率、组成结构、实现资源等。给定的相位增量a:输出频率为fo=a*fclk/(2的M次方),M为累加器精度。当a为1时,输出最小频率,为频率分辨率。...

2020-07-22 14:43:48 1424

转载 Quartus CIC IP核实现

该篇是FPGA数字信号处理的第18篇,题接上篇,本文详细介绍使用Quartus自带的CIC IP核进行设计的方法。下一篇会介绍使用Vivado的IP核设计CIC的...

2020-06-29 16:38:54 2405 1

转载 转载博客

来源:http://blog.csdn.net/bolu1234/article/details/51867099 前言  对于喜欢逛CSDN的人来说,看别人的博客确实能够对自己有不小的提高,有时候看到特别好的博客想转载下载,但是不能一个字一个字的敲了,这时候我们就想快速转载别人的博客,把别人的博客移到自己的空间里面,当然有人会说我们可以收藏博客啊,就不需要转载,(⊙o⊙)… 也对。。实现  因为我自己当初想转载的时候却不知道该怎么转载,所以学会了...

2020-06-29 14:12:27 94

原创 SPI的工作模式?

SPI有四种模式spi四种模式SPI的相位(CPHA)和极性(CPOL)分别可以为0或1,对应的4种组合构成了SPI的4种模式(mode)Mode 0 CPOL=0, CPHA=0Mode 1 CPOL=0, CPHA=1Mode 2 CPOL=1, CPHA=0Mode 3 CPOL=1, CPHA=1时钟极性CPOL: 即SPI空闲时,时钟信号SCLK的电平(1:...

2020-05-08 11:21:45 988

原创 iic时钟最高多少?

IIC有3中模式,标准模式,快速模式,高速模式。I2C 总线上数据的传输速率在标准模式下可达100kbit/s,在快速模式下可达400kbit/s,在高速模式下可达 3.4Mbit/s ;连接到总线的接口数量只由“总线电容是 400pF”的限制决定...

2020-05-08 10:53:23 1475

原创 A4纸张两面打印、每面打印2页的打印机设置

A4纸张两面打印、每面打印2页的打印机设置1、打开文档,点击打印按钮2、打印机打印设置3、打印机属性设置4、点击打印,开始打印文档5、小结,作者也是通过多次操作尝试才成功的,希望这篇博客对你有用,在成功的路上不要气馁,再接再厉;已经取得成功的要保持,继续前进。...

2020-01-06 10:14:03 2609

原创 序列检测器

序列检测器是在数字码流中检测特定序列,利用同步状态机的方式可以较容易的实现。比如设计一个检测序列为10010的检测器。ST1:确定状态机的状态数亮;ST2:确定每个状态下的变量的值;ST3:状态之间切换的条件;ST4:触发状态机的条件;module xulie(x,z,clk,rst);input x,clk,rst;output z;wire z;reg[...

2019-12-13 17:21:39 606

转载 网络文章(摘录)_顺序

还原历史各朝代灭亡的真相推开历史的门窗,涌入的是一个个朝代的繁华与兴替,一段段故事的跌宕与传奇,一个个人物的命运与悲喜。你知道哪些历史真相呢?一起来看看小编给大家精心准备的资料,欢迎阅读!  各朝代灭亡的真正原因,还原历史真相  中国(夏、商、周、秦、汉、魏晋、南北朝、隋、唐、五代、宋、元、明、清)各朝代灭亡的原因总结。  夏王朝(约前21世纪—约前16世纪)是中国历史上第一个奴隶制...

2019-12-13 17:14:29 203

原创 电路设计及芯片选型

1、电源电路LT3083IQ:稳压器,单电阻设置。输出电流:3A输入电压范围:1.2V 至 23V (DD-Pak 和 TO-220 封装)低压差电压:310mV最小负载电流:1mA输出电压: 0V 至 23VLT3080-MS8E-1.1A:稳压器,单电阻设置。输出电流:1.1A输入电压范围:1.2V 至36V (DD-Pak 和 TO-220 封装)低压差电压:350mV...

2019-12-13 14:31:45 1434

原创 同步串口与异步串口

串口:是与并口相对应的一种接口,两者都是设备与设备之间通信的物理接口。同步串口与异步串口:这里我们指的是串口的通信,通信双方是否同步。同步通信即收发双方交换数据是同步的,异步通信表示收发双方数据交换是异步的。UART:通用异步收发器,异步通信的协议。规定好通信双方的波特率、数据长度、校验位、停止位等参数即可发送数据和接收数据。同步通信:相较于异步通信,物理上多了一根时钟线,传输效率更高(去掉...

2019-12-13 13:45:04 3891

原创 HDLC(high level data link control)高级数据链路控制

HDLC是面向bit的同步通信协议,差错检测强、高效、同步传输等特点。1、基本帧结构:标志 地址 控制 信息 校验 标志 8b 8/16b 8/16b 8b*n 16/32b 8b 标志:7E,两个标志直接是数据(地址段+控制段+信息段)。地址:接收端地址。控制:信息帧、监督帧、无编号帧。信息:传输数据。校...

2019-12-12 17:17:49 1505

转载 altera公司的一些参考设计地址

altera公司的一些参考设计地址:这个网站可以直接下载代码,不需要注册:http://www.alterawiki.com/wiki/Main_Page下面这个需要注册,代码比较全:https://opencores.org/projects下面这个是中国直接的FPGA开发论坛,资料很多http://bbs.eet...

2019-12-10 16:07:32 523

转载 学历真的重要吗?

对计算机专业来说学历真的重要吗?2019-09-27 09:21:37启舰阅读数 82615更多分类专栏:-------7.1 面试7、面试5、andriod开发版权声明:本文为博主原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接和本声明。本文链接:https://blog.csdn.net/harvic880925/article/detail...

2019-11-22 09:41:15 1637

原创 理想

理想是什么呢?我日日夜夜的问自己,终于有一天我知道了答案。小时候的理想:小时候不知道理想是什么,而且老师们也经常问我们,长大后的理想是什么,做什么人,从事什么工作。我记得班里的童鞋有的要做老师,有的要做医生,有的要做飞行员(航天员),有的要做。。。。。。。我当时就随便想了想。念书时的理想:希望自己什么题都会,都能解答,考取高分,为自己和家人争光。记得那时候经常听父母对自己说的一句话,你好好...

2019-11-22 09:15:47 97

原创 不忘初心、方的始终

这是我在网络上摘录的:不忘初心、方的始终:不要忘记最初时候人的本心,就是人之初那一颗与生俱来的善良、真诚、无邪、进取、宽容、博爱之心。1、一般来说“不忘初心,方得始终”这句话是解读自《华严经》的部分经文(见下)。解读之后语言通俗,道理直白,大部分人看到后都会有所感触,故而出自《华严经》的说法就流传开来。2、何谓初发心?它是我们踏入佛门之始,心中秉持的那颗当仁不让的成佛利生之心,那份最真...

2019-11-20 09:36:09 154

原创 感恩

生活中学会感恩,感恩家人、朋友和你的那个他。 感恩是有方法的,心存他人对自己的好,心存他人对自己无谓的付出,接受这份情,接受这份意,在合适的时候是需要给别人回报的,可以是言语上的,可以是精神上的,也可以是物质上的。 不要对他冷眼看待,认为他人是傻子,因为没有人是真正意义上的傻子,没有人会毫无所求的对另一个人好,他自是在满足自己的情感而已,...

2019-11-20 09:13:27 138 1

翻译 格雷编码器的设计

格雷码的特点:任意两个相邻整数之间只有一个bit位不一样,这样在设计数字系统时,就避免了尖峰电流出现的概率,大大减少了这个状态跳变到下一个状态产生逻辑混淆。 以下是4位二进制格雷码对照表 十进制数 自然二进制 格雷码 0 0000 0000 1

2017-11-15 22:36:24 1604

翻译 编码器与译码器

奇偶校验位产生器 对输入数据按位进行异或产生奇校验。 对奇校验进行取反操作产生偶校验。 module parity( input_bus, odd_bit, even_bit

2017-11-15 22:17:57 1419

原创 并行数据转串行数据模块的设计

通信协议 scl为不断输出的时钟信号,如果scl为高电平时,sda由高变低,穿行数据流开始;如果scl为高电平,sda由低变高,串行数据结束。sda信号的数据值必须在scl高电平之间稳定,在scl低电平时才可以改变,否则的话,立即就结束了串行数据的转换。

2017-11-14 19:08:59 5216

原创 序列检测器

欢迎使用Markdown编辑器写博客本Markdown编辑器使用StackEdit修改而来,用它写博客,将会带来全新的体验哦:Markdown和扩展Markdown简洁的语法代码块高亮图片链接和图片上传LaTex数学公式UML序列图和流程图离线写博客导入导出Markdown文件丰富的快捷键快捷键加粗 Ctrl + B 斜体 Ctrl + I 引用 Ctrl

2017-11-14 18:34:18 2332 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除