自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(33)
  • 资源 (3)
  • 问答 (4)
  • 收藏
  • 关注

原创 RAM Sequential

RAM Sequential

2023-05-23 09:21:29 685

原创 观夏荷有感

知是客卿来相顾 花间巧生碧玉环。

2022-07-03 12:26:09 100

原创 handshake 握手电路 小例

A sponsor a request(REQ) for sending data out B return with an ACK and start to receive the data once recognizing the request from A module TwoPhaseHandshake(clkA,rsta_n, clkB,rstb...

2019-10-27 22:17:55 883

原创 Clock gating 杂谈 之 DFF + AND

本文仅以 active high clock gating cell 为讨论目标常见的ICG 模型如下Negative level sensitive latch + ANDmodule (//inputinput wire EN,input wire D,output wire gclk);reg GCLK;always @(EN or D) begin if (...

2019-07-08 21:02:47 939 7

原创 异步fifo 简单实现-Verilog

异步FIFO实际应用场景很多,代码风格也各不相同,但核心应该都是围绕着两个:1. 跨时钟域同步2. 空满检测最经典的文献还是cliffcummings的两篇文章本文对FIFO进行简单的实现,进行了简单的读写和空满观测fifo参数可配memory模型可替换// top//fifomodule async_fifo #(parameter ...

2019-06-10 23:04:40 857

原创 可配置奇偶分频Verilog实现

可配置奇偶分频 及testbenchmodule odd_even_div (//inputinput wire clk,input wire rst_n,input wire rst_n_clkn,input wire [7:0] div_cfg,// outputoutput wire done,output wire clk_o);/// counter// re...

2019-06-05 08:08:54 517

原创 FSM-based Digital Design 实例: 异步串行接收机

文章附图摘自《FSM-based Digitial Design Using Verilog HDL》 1. 系统框图2. 状态转移图 3. FSM // async receiver fsm// module async_rx_fsm (//inputst,en,rst,ack,ed,rxf,rxo,clk,//outputPD,CDC,RXCK,DR...

2019-01-06 21:39:10 417 2

原创 给UltraEdit设置Verilog语法高亮

 很多博客中都有过介绍,不过现在下载的网址已经更新如下:https://www.ultraedit.com/downloads/extras/wordfiles.html摘录了一部分feature缩进的设置/Indent Strings = "begin" "case" "fork" "specify" "table" "config"/Unindent String

2019-01-06 10:29:07 1596

原创 on chip clock - OCC 初相识

从 基于片上时钟的速测试电路的设计 这个文章里面看到了下面这个图前四个shift_reg[0]-shift_reg[3]作为synchonizershift_reg[4]作为launch clock enable,脉冲宽度为一个pll clk,因为shift_reg[4] & !shift_reg[5]shift_reg[5]作为 capture clock enable...

2018-12-27 22:31:40 2889 3

原创 register file verilog model

1 port register file verilog modelmodule rf1p(//inputclk,d,cs,wen,addr,//outputq);// parameterparameter WIDTH =4;parameter ADDR=2;parameter DEPTH=4;input clk;input cs;input [WIDTH-1:0] d;...

2018-12-17 22:07:11 1936

原创 FSM-based Digital Design 实例: 串行发送器状态机

根据书中讲解,用Verilog实现了功能,经过简单的仿真,状态机可以正常工作。文章附图摘自《FSM-based Digitial Design Using Verilog HDL》1 系统框图 状态机控制下将计数器的数值并行加载到移位寄存器中,并串行发送出去;2 状态转移图3. 状态机代码module tx_fsm(//inputrst,st,re,done,clk,//outp...

2018-12-15 18:18:53 526

原创 Single pulse generator using verilog HDL

最近看了看 FSM based Digital Design using Verilog HDL, 根据Frame. 1.11的状态转移图写了一个module;module one_pulse(//inputclk,s,rst_n,//outputP,L);input s;input clk;input rst_n;output P;output L;reg [1:0] s...

2018-12-10 13:50:24 942

转载 [转] CSA 累加器的verilog 仿真

csa acc

2018-01-02 23:10:13 5172

原创 五层住户电梯状态机-Verilog实现

最近在看精通Verilog HDL: IC设计核心技术实例详解 尝试写了一下第四章的一个练习题目;DC综合的网表功能也正常;

2017-12-20 08:25:55 6983 6

原创 异步复位,同步释放

always @(posedge clk ornegedge rst_n)     begin       if (~rst_n)         data        else        *      异步复位,同步释放     从RTL看,复位是rst_n下降沿触发,是异步的,无需timing check     而,对于释放而言,rst_n拉高,即

2017-07-11 09:48:48 355

原创 perl 调用rm -rf 递归删除指定文件夹,提示 can not remove: not empty 原因

my $dir = "/root/syn/Design/test.txt";open $fh_dir, "> $dir " or die"$!";chdir "/root/syn/" or die "$!";system "rm -rf Design";句柄锁定了该目录,因此perl不会再允许对该目录进行删除,避免引起冲突my $dir = "/root/syn/Desig

2017-01-19 09:19:51 3016

翻译 MFC编程学习之改变对话框和控件及文本颜色

//程序消息流:首先对话框绘制时,会发送WM_CTLCOLOR消息,那么OnCtlColor()函数//将响应该消息,程序将用该函数返回的画刷对控件进行绘制。HBRUSH CSettingDlg::OnCtlColor(CDC* pDC, CWnd* pWnd, UINT nCtlColor) {HBRUSH hbr = CDialog::OnCtlColor(pDC, pWnd,

2015-10-18 22:50:02 456

原创 今天在CentOS6.4操作系统下安装了Oracle客户端

一开始安装完成后,对环境变量的设置折腾了大半天,后来才知道要重启,才能使之生效。因为操作系统是中文的,在/home/oracle/app/..../bin下面执行netmgr时,发现oracle的netmgr界面都是乱码,后来把/etc/sysconfig/i18n里的LANG="zh_CN.UTF-8" 改成 LANG="en_US.UTF-8"将系统设为英文,就

2015-05-07 20:30:56 679

转载 Open Shortest Path First; 内部网关协议之OSPF协议

知识点概述:OSPF最主要的特征是使用分布式的链路状态协议,而不是像RIP那样的距离向量协议。与RIP协议相比较:(1)并非像RIP协议只与相邻路由进行信息交换,OSPF向本自治系统中所有路由发送信息。【洪泛法】(2)发送的信息就是本路由器相邻的所有路由器的链路状态。链路状态是指本路由器与哪些路由相邻,以及该链路的度量(费用、距离、时延、带宽),也可称之为代价;    相比

2015-04-14 09:36:41 706

转载 Routing Information Protocol

内部网关协议之RIP--路由信息协议知识点概述:        RIP是一种分布式的基于距离向量的路由选择协议,最大的优点是简单。RIP协议的"距离" 也称为跳数,RIP认为好的路由就是它通过的路由数目最少。RIP允许一条路径最多只能包含15个路由器。RIP选择一条具有最少路由器的路由(即最短路由),哪怕还存在另一条高速但路由器较多的路由。    RIP协议的特点:

2015-04-13 22:35:58 885

原创 【IP层分组转发的流程】划分子网的情况下,分组转发的算法。

首先,参考了一张谢希仁老师的书里的一个示例:主机H1向H2发送分组的过程:首先,跟本子网内的子网掩码:255.255.255.128与目标主机H2的IP地址:128.30.33.128 相与得到网络号:128.30.33.128。显然这与子网1的网络号:128.30.33.0不匹配。然后,数据报交由子网1的默认路由R1,由其转发。此时,R1会查找自己的路由表。将H2的主机地

2015-03-30 22:35:48 4835

转载 ARP协议--地址解析协议

网络层使用的是IP地址,但实际网络的链路上传送数据帧时,最终还是必须使用该网络的硬件地址。    ARP高速缓存---IP地址到硬件地址的映射表    那么一台主机(A)是如何获知本局域网内其他主机或路由的硬件地址的?    主机A广播ARP请求分组:我的IP是%.%.%.%,硬件地址是#-#-#-#-#-#,请告知我IP地址为@.@.@.@主机的硬件地址。    本局域网内的所有

2015-03-29 15:59:01 494

原创 100以内的素数(又称之为质数)之和

>>所谓质数,就是只能被1和本身整除的数。举个例子,10以内的质数包括:2, 3, 5, 7>>注意1既不是质数,也不是合数#includeusing namespace std;int main(){int i = 1;int j = 0;bool change = 1;//标志位int sum = 0;for (i = 2; i{for

2015-03-21 13:24:14 930

原创 字符串处理系列:输入任何一串字符串,计算其中ABC子字符串的个数

字符串处理系列:输入任何一串字符串,计算其中ABC子字符串的个数

2015-03-21 12:17:45 1089

原创 hw机试题目

#include#includeusing namespace std;int main(){/*char ch;*/char  str[50];cout cin.getline(str, 50);cout int flag=0;for (int i = 0; i {if (str[i] == '('){for (int j= i+1;

2015-03-20 15:15:22 615

转载 [转]让IE 以全屏模式启动

ie 8的隐私保护功能

2014-12-20 08:49:09 593

原创 Windows7--MQ队列管理器双向通道的建立

队列名队列属性 DATA本地队列define qlocal(DATA)REMOTE.DATA.R远程队列指向队列:DATA.R   指向队列管理器:TEST_RQMdefine qremote(REMOTE.DATA.R) rname(DATA.R) rqmname(TEST_RQM

2014-09-20 11:07:22 1292 1

原创 如果你正在阅读 Stephen Prata 的《C++ primer plus》 ,这个网址不错

http://www.ignatkov.net/cppprimerplus/chapter9answers/c-primer-plus-chapter-9-exercise-1-answer/ 里面有每一章课后编程练习题的答案。

2014-04-03 16:41:01 802

转载 C++ primer plus里的一个程序

#include#include#include//visual studio 2005不支持arrayint main(){ using namespace std; double a1[4]={1.2,2.4,3.6,4.8}; vectora2(4); a2[0]=1.0/3.0; a2[1]=1.0/5.0; a2[2]=1.0/7.0; a2[

2013-11-17 10:22:53 589

原创 小程序,面试题

#include#includeint IntoStr(char *p,int n){char *str=p;//整型数转换成字符串int i,j,len,temp;for(i=0;n>0;i++){str[i]=n%10+'0';n/=10;}len=strlen(str);i=0;j=len-1;while(i{temp=st

2013-11-07 11:21:33 1659

原创 面试题,小程序,在一个排序完毕的数组中,按顺序插入一个数

#include//一个已经按照一定顺序(从大到小或者从小到大)排列好的数组//现在需要插入一个数,并且还是按照之前的顺序#define N 3int main(void){    int i,p;    float a[N+1],x;    printf("Please input an float type number:\n");    scanf("%f",

2013-11-06 13:07:04 1787

原创 面试题,小程序

现在有1元,2元,5元面值的钱,任给一个数量的现金,由程序得出所有由上述面值的钱给出的组合#includevoid Zuhe(int n){     int a,b,c;     int i,j,k;    a=n/5;    b=n/2;    c=n; for(i=0;i  for(j=0;j   for(k=0;k   {      if(

2013-11-05 20:57:47 874

原创 面试题,删除子串

#include#includeint delete_SubStr(char *str,const char *SubStr){char *p=str;char *q=(char*)malloc(strlen(str)+1);char *r=SubStr;char *temp=q;int len=strlen(str);while(*p!='\0'){i

2013-11-05 20:51:55 737

数字集成电路设计 电路、系统与设计

数字集成电路:电路、系统与设计(第二版)英文版

2016-03-12

MQ 队列管理器创建及消息发送示例

简述了MQ队列管理器的创建及工作机制; 队列管理器(本地队列,传输队列,远程队列)

2015-04-04

C++ primer plus(第五版)Stephen Prata课后习题答案

C++ primer plus(第五版)Stephen Prata课后习题答案

2013-11-11

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除