自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

蜻蜓队长c的博客

我没有天赋,但我总是想试一试,一个普通人怀有梦想会是怎么样!

  • 博客(54)
  • 资源 (46)
  • 收藏
  • 关注

转载 SVN/GIT使用教程(常用命令)

SVN/GIT使用教程(常用命令)

2022-07-25 02:58:21 1063 1

原创 数字IC设计——FIFO的设计

数字IC设计——FIFO的设计

2022-06-12 23:25:38 464

原创 数字IC设计——异步FIFO的设计

数字IC设计——异步FIFO的设计

2022-06-08 00:02:14 416

原创 ISA8051内部结构讲解

ISA8051

2022-06-02 00:21:57 522

原创 vcs && verdi labs(adder8)

adder8.vmodule adder8 ( input clk, input [7:0] a_i, input [7:0] b_i, output reg [8:0] c_o);always @ (posedge clk) begin c_o <= a_i + b_i;endendmoduleadder8_tb.v`ifndef TB_SEED`define TB_SEED 0`endifmodule tb_adder8 (); wir.

2022-05-24 23:07:41 234

原创 数字IC设计——Makefile脚本(示例)

#************parameter input*************#※prepare the source list file and then make add the soucefile name #for example ,the Verilog source file's name is vlog_list.f then :make norsim src_list=file_listsrc_list = simsimv_name = simvvpdpluse_name.

2022-05-24 22:40:18 1218

原创 AHB_SRAM_TB测试文件

module ahb_slave_if_tb; reg hclk; reg hresetn; reg hsel; reg [31:0] haddr; reg hwrite; reg [2:0] hsize; reg [2:0] hburst; reg [1:0] htrans; reg hready; reg [31:.

2022-05-24 22:31:48 387

原创 数字IC设计知识技能树(脑图)

2022-05-13 04:57:08 643

原创 Linux redhat6/centos7将bash换成csh

一. 下载csh1、终端中输入右侧命令可以查看如下图: echo $SHELL2、切换为root: suroot3、更新下载源: yumupdate4、下载csh: yuminstallcshps:(如果镜像源用不了,需要自行更换镜像源)二.修改/etc/passwd1、终端中使用gvim打开/etc/passwd: ...

2022-05-10 17:54:15 5733 3

转载 Linux命令详解_2

查看文件、内容处理命令cat:查看文件格式:  cat 文件名选项:  -n:输出行号例子:查看/etc/passwd文件[root@10 ~]# cat /etc/passwdtac:查看文件,反向显示文件内容格式:  tac 文件名more:分页显示文件内容格式:  more 文件名q结束查询less:分页显示文件内容格式:  less 文件名q结束查询head:显示文件内容头部(默认前十行)格式:.

2022-05-10 01:34:59 10882

转载 Linux命令详解_1

linux命令的格式命令格式:命令 [选项] [参数](命令是必不可少)命令:  ls、cd、cat等命令选项:  -选项:短命令选项,两个以上的是多个短命令选项,顺序不影响操作,也可以合并  --选项:长命令选项,不可合并参数:  路径、内容等线上查询帮助命令man、help:命令帮助使用手册格式:  man 命令  命令 --helpq结束查询文件、目录操作命令ls:列出文件信息格式:  ls 路径(不加路径,默认是当...

2022-05-10 01:33:15 351

转载 Linux常用命令汇总

输出所有环境变量echo $PATH | sed 's/\:/\n/g' :可以查看装的软件有没有设置环境变量echo $NOVAS_HOME :输出verdi的环境变量,将NOVAS_HOME换其他的也类似线上查询及帮助命令(2个)man:查看命令帮助,命令的词典,更复杂的还有info,但不常用。help:查看Linux内置命令的帮助,比如cd命令。文件和目录操作命令(18个)ls:全拼list,功能是列出目录的内容及其内容属性信息。cd:全拼c......

2022-05-10 01:27:41 832

原创 GVIM/VIM常用快捷操作(更新中)

u: 撤销修改:gf 可以根据路径直接跳转打开文档:ctrl+i/o 返回/跳转:/ 搜索关键字:Sex – 水平分割一个窗口,浏览文件系统;:Vex – 垂直分割一个窗口,浏览文件系统;:qall – 关闭所有窗口,退出vim。:close – 关闭当前窗口:only – 只保留当前窗口,ctrl+f: 下翻一屏。ctrl+b: 上翻一屏。zt: 将光标移动到屏幕的顶部zb: 将光标移动到屏幕的底部dw: 删除一个单词(从光标处到空格)dd: 删除当前光标处.

2022-05-04 15:36:13 6980 4

原创 Keil_v5使用条件编译的小记录

1.#ifdef和#if defined的区别//此种情况只能在两者中选择是否有定义#ifdef xxx ......#else ......#endif//此种情况可以在多个中选择是否有定义#if defined xxx1 ......#elif defined xxx2 ......#elif defined xxx3 ......#endif 2.在Keil_v5上使用#ifdefin...

2022-02-24 15:48:40 7057

原创 关于AD之PCB各层的简单说明

1. 信号层(Signal Layers)1. 顶层(Top Layer)、底层(Bottom Layer)、中间层(Signal Layer1···N)这些层都是具有电气连接的层,也就是实际布线的层。2. 内电层(Internal Plane)1. 内电层常用于多层板上的VCC和GND电源线,也具有电气连接的性质,但是该层一般不进行布线,该层可以分为不同电源模块化组成。3. 助焊层(Paste Mask)1. 助焊层也叫锡膏层,它包括底层助焊层和顶层助焊层...

2022-01-18 17:59:29 11949

原创 AD15常用快捷键

一、旋转器件1.鼠标选中器件不放,按空格键可以改变四个方向90度旋转。2.按X--------横向变换;按Y---------纵向变换。3.双击器件打开Properties------>改变Rotations的值达到任意改变器件旋转方向。二. 切换版层1.按住Shift+S,配合小键盘上的+和-来使用或者直接通过鼠标点击下方的图层进行切换。2.Ctrl+Shift+鼠标滚轮进行切换。三. 测量距离和切换单位1.按住键盘Q,可以切...

2022-01-04 16:01:52 11121

原创 zynq利用petalinux工具进行字符设备驱动开发

petalinux工具对于zynqlinux开发是一把利器,俗话说“磨刀不误砍柴工”,“工欲善其事必先利其器”。petalinux的使用,需要一点一点的积累!下面简单记录一下利用petalinux工具进行字符设备驱动开发的简单过程。利用windows平台下的vivado导出hdf文件(如下图zynq_petalinux.sdk文件夹),然后利用petalinux创建一个工程,然后在此工程下进行驱动开发。(前面这些过程这里就不进行赘述)修改.bashrc文件(命令:gedit ~/...

2021-09-05 08:36:03 1795

原创 ubuntu16.04修改用户名、设备名和用户组名

有时候主机的用户名和设备名看太久了,也会看腻的。是时候通过下面命令来进行更改,给它们换个名称。

2021-09-05 05:36:00 2799 2

原创 在Ubuntu16.04中创建共享文件夹与FTP

在linux中搭建FTP服务器打开Ubuntu的终端窗口,然后执行下面的命令来安装FTP:sudo apt-get install vsftpd等待软件自动安装,安装完成后使用如下命令打开vsftpd.conf。命令如下:sudo vi/etc/vsftpd.conf打开vsftpd.conf以后找到如下两行,确保这两行前面没有被注释掉。Local_enable=YESWrite_enable=YES重启FTP服务,命令如下:sudo /etc/init.d/vsftpd re.

2021-07-27 18:03:15 1051

原创 IC617基本知识

IC617画版图的快捷键(转载自:https://www.cnblogs.com/IClearner/p/11778707.html)IC617画版图的快捷键1.shift + F :显示所有版图层次ctrl + F :关闭所有版图层次2.放大与缩小:鼠标滑轮滑动即可。此外,下面的操作也可以实现放大/缩小:放大:鼠标右键选择区域,选中的区域会放大;ctrl+z缩小:shift+z最后,按F可以匹配最大化,也就是版图大小跟窗口大小相匹配3.选中某个器件/部位..

2021-02-24 01:30:26 7499 1

原创 关于在同一CentOS7系统下同时安装IC617和IC5141的一些问题

在同一个linux操作系统下,是可以同时存在两个不同版本的IC设计工具的,在这里我安装的是IC617和IC5141,我已经使用了一段时间没发现有什么问题。因为之前我已经安装了IC617,那么后面我只需要安装IC5141就行了,下面是我使用的画面:IC5141安装过程这个过程很简单,前面的文章有多次讲过,使用InstallScape图形化界面进行安装,安装过程中会有窗口进行选择,选3 .Quit后一直回车知道结束就行了,可以参考https://blog.csdn.net/qq_40987215..

2021-02-19 08:54:41 3325 6

原创 IC617:use cdb2oa to convert your data from CDB to OA

前言 以前比较旧的工艺库可以运行在IC514上但是不能运行IC617,如果你打开virtuoso,错误信息会显示Warning: ddUpdateLibList: It appears that you are trying to run an OA executable on CDB data. Library 'tsmc35mm' contains file '/home/CHEN/tsmc035mm_3d3v_5v_v2d7a_PDK/tsmc35mm/prop.xx' which ...

2021-02-11 19:59:13 8139 1

原创 GENUS152/INNOVUS152/EXT151依赖包安装(Centos7)

GENUS152/INNOVUS152安装完成后,bashrc也配置完后,打开innovus遇到错误如下: [root@chen]#innovus./code: error while loading shared libraries: libXss.so.1: cannot open shared object file: No such file or directory. 解决办法: 手动安装依赖包,打开终端输入:rpm -ivh ******.rpm (...

2021-01-17 14:32:48 4846 2

原创 EXT151(QRC)安装步骤

EXT151简介EXT151软件安装包,主要包含了电路设计物理信息提取工具QRC启动InstallScape打开终端,输入命令:sh /opt/cadence/installscape/iscape/bin/iscape.sh加载和安装镜像文件

2021-01-15 20:46:39 6161 3

原创 Cadence IC617——后端验证工具ASSURA04.15-617安装教程

ASSURA04.15-617下载链接:https://pan.baidu.com/s/1gVi1y5N1c4hmbsu2yuNlQA 提取码:ygdipatch下载链接:http://pan.baidu.com/s/1eQ48TweNCSU的CDK及FreePDK工艺库(仅教学练习使用):https://pan.baidu.com/s/1gdei4s...

2020-03-26 00:54:47 18612 25

转载 Notepad++代码编辑器——Verilog编译

转载:原文链接:https://www.cnblogs.com/xianyufpga/Notepad++下载链接(包含插件):https://pan.baidu.com/s/1uc5AmpB-dGMynbVZkLsmXg提取码:86ybNotepad++是一款精致小巧的编辑器,自带Verilog语法识别功能,插件也挺好用的。尤其是利用插件实现代码片段,大大节省我们写Veril...

2020-03-17 21:38:06 10336 5

原创 Cadence IC设计环境搭建( IC617+MMSIM151+Calibre2015)

做IC版图设计,必不可少的环境搭建,是在Linux上进行开发,此类的安装教程网上比较少,自己也是跌跌撞撞,最终耗了一天的时间才装好呵呵呵~,期间主要参考了下面两篇文章。1.知乎文章2.简书文章3.安装需要的文件: 链接:https://pan.baidu.com/s/14acWcuHvXGRkqf_8zkveDQ 提取码:uahd以下为我的使用画面...

2020-03-07 21:33:44 74131 116

原创 quartus II 报错(Error (10028): Can't resolve multiple constant drivers for net "counter[31]" at key_d

报错原因如下:quartus在不同的always逻辑块中,对同一个变量进行了赋值是禁止的!下图已用红圈标注。quartus报错如下的解决方法:将他们都写在同一个always逻辑块下面!...

2020-02-28 22:42:55 2347

原创 数字IC设计——CMOS反向器(001)

不忘初芯一起学Verilog的99道题001题: CMOS反相器的电路原理图CMOS反相器工作原理首先考虑两种极限情况:当vI处于逻辑0时,相应的电压近似为0V;而当vI处于逻辑1时,相应的电压近似为VDD。假设在两种情况下N沟道管TN为工作管P沟道管TP为负载管。...

2020-02-10 03:35:29 5731

原创 FPGA 笔记六

FPGA 笔记六一、VGA(Video Graphics Array 视频图形阵列)1. 接口定义视频图像通过1、2、3引脚输出模拟信号,模拟信号电压范围:0V ~ 0.714V,电压越大,颜色分量越多。引脚13、14输出TTL电平标准的行/场同步信号。在VGA视频传输标准中,视频图像被分解为红、绿、蓝三原色信号,经过数模转换之后,在行同步(HSYNC)和场同步(VSYNC)信号的同步...

2020-02-07 06:19:52 966

原创 FPGA 笔记五

FPGA 笔记五一、串口通信原理1、并行通信并行通信是指数据的各个位用多条数据线同时进行传输 。优点:传输速度快缺点:占用引脚资源多2、串行通信串行通信是将数据分成一位一位的形式在一条传输线上逐个传输。优点:通信线路简单、占用引脚资源少缺点:传输速度慢3、串行通信的通信方式:同步通信带时钟同步信号的数据传输;发送方和接收方在同一时钟的控制下,同步传输数据。...

2020-02-06 08:09:01 403

原创 FPGA 笔记四

FPGA 笔记三一、ROM和RAM的区别:转载(https://blog.csdn.net/Gdadiao123/article/details/79891791)ROM和RAM指的都是半导体存储器,ROM是Read Only Memory的缩写,RAM是Random AccessMemory的缩写。ROM在系统停止供电的时候仍然可以保持数据,而RAM通常都是在掉电之后就丢失数据,典型的...

2020-02-04 07:21:03 591

原创 FPGA 笔记三

移位操作连续左移 led[3:0] <= {led[2:0],led[3]}; //0001 ,0010 ,0100 ,1000连续右移 led[3:0] <= {led[3],led[2:0]}; //1000 ,0100 ,0010 ,0001按键消抖检测按键信号稳定时,再延时20ms,进而确定按键信号有效。可通过key_flag和key_va...

2020-01-31 17:26:49 1191

原创 FPGA 笔记二

1. FPGA设计流程如下: 在设计输入之后,设计综合前进行RTL级仿真,称为综合前仿真,也称为前仿真或功能仿真。前仿真也就是纯粹的功能仿真,主旨在于验证电路的功能是否符合设计 要求,其特点是不考虑电路门延迟与线延迟。在完成一个设计的代码编写工作之后,可以直接 对代码进行仿真,检测源代码是否符合功能要求。这时,仿真的对象为HDL代码,可以比较直 观的观察波形的变化,...

2020-01-19 01:44:32 236

转载 相对于“裸奔”,RTOS实时操作系统的优点。

刚开始学 51 、STM32单片机,自然还是要从裸机开始跑,但是随着写的裸机软件越来越多,裸机所暴露的问题也越来越多。具体总结如下:1、并发性:程序并发工作效率低在写裸机软件时,不可避免的在主程序中会有一个超级大的 while(1) 循环,这里面几乎包含整个项目的所有业务逻辑。因为每个业务逻辑里面都会有 delay 这样的循环等待函数,这样导致了所有的业务逻辑几乎都是串行起来...

2020-01-12 04:18:39 4365 2

转载 UCOSIII 中的钩子函数、中断服务函数、 临界区和延时函数

一、钩子函数 功能:扩展任务功能,被其他任务调用 算是消息机制 1、OSIdleTaskHook(),空闲任务调用这个函数,可以用来让CPU进入低功耗模式 2、OSInitHook(),系统初始化函数OSInit()调用此函数。 3、OSStatTaskHook(),统计任务每秒中都会调用这个函数,此函数允许你向统计任务中添加自己的应用函数。 4、O...

2020-01-12 04:05:35 1202

原创 KEIL5使用ST-Link在线调试以及Use Simulator逻辑分析仪(举例如下)

有时候使用仿真工具debug可以很快的解决代码运行出现的问题,以及确定问题所出现的位置,我感觉掌握好调试工具的使用是很有必要的,这里有两个辅助我们调试代码的例子。一、ST_LINK配置1、选择Use:ST-LinkDebugger2、选择Port: SW,其他的全为默认。二、开始进行仿真调试1、点击keil5工具栏的这个图标进入debug模式2、...

2020-01-05 19:24:22 12290 5

原创 STM32和HMI串口屏通信的使用教程

1、参考资料USART HMI 资料中心:请点击打开USART HMI 视频教程:HMI基础视频教程本人博客下载页面里也有参考代码:stm32代码 :https://download.csdn.net/download/qq_40987215/11643433HMI代码:https://download.csdn.net/download/qq_40987215/1159542...

2019-10-24 23:53:56 14443 6

转载 PID算法优化(以平衡小车代码为例)

PID控制这篇文章是PID控制的进阶说明,如果没有看过PID控制(上)的读者,请先看看PID控制(上),以便更容易看懂这篇文章。关注微信公众号“电子搬砖师”即可阅读PID控制(上)。文章分为两个部分讲解:PID算法优化,串级PID分析。先讲PID算法优化,奉上...

2019-08-04 15:59:46 18184 3

转载 eagle的原理图和pcb转换成Altium Designer格式

转载自:https://jingyan.baidu.com/article/ce4366492be6263773afd3ac.html现在开源硬件的流行,很多国外开源硬件厂家会将电路图公开,例如Arduino,我们可以在官网下载到Arduino的Eagle文件,但是对于Eagle绘图软件很多人都不是很了解,并且操作上也并不是很好,所以需要将文件转换成使用Altium Designer可以打开的...

2019-07-20 00:50:50 2423

AHB_SRAM_master_test

RTL+TB

2022-06-09

Makefile示例四

Makefile示例四

2022-06-07

verdi_vcs_lab2

初学者跑verdi和vcs的lab2!(内部readme必读)

2022-05-11

Redhat6.7的镜像源

更改redhat6.7的镜像源,直接将它替换掉/etc/yum.repos.d/中的CentOS-Base.repo

2022-05-10

gvim的字体和主题配色方案

1、根据我的.vim文件找到在下方的网站找到相应的主题 2、主题网站:https://www.vim.org/scripts/script_search_results.php 3、然后将主题放在 ~/.vim/colors/

2022-04-25

DC_lab练手实验,dc版本2015/2016

1. lab1已经配置好,直接执行 dc_shell -f scripts/run_comp.tcl | tee -i syn.log 2. makefile 是用来清理导出的那些文件 3. 把压缩包放到虚拟机再进行解压,如果在Windows下解压可能会有问题!

2022-04-25

verdi_vcs_lab1

初学者跑verdi和vcs的lab!(内部readme必读)

2022-04-25

IC虚拟机.bashrc

IC虚拟机.bashrc和.vimrc

2022-04-25

KEIL5_flash_stm32f1~f4

1.KEIL5_flash_stm32f1~f4

2022-03-10

花样流水灯(9个LED).zip

1.花样流水灯,一共9个LED,高电平量,低电平灭。 2.Pin引脚不是连续的,通过数组把他们联合起来。 3.主控STM32F103ZET6,一共9种模式。 4.第一种模式:一滴一滴向下滴满。 第二种模式:一滴一滴向上抽走直到抽完。其他模式都通过是用数组来写的,直接看数组中的值即可。

2022-03-06

目前使用的AD封装库3.0

目前使用的AD封装库3.0

2022-01-31

pmbus电源管理总线协议参考资料.zip

pmbus电源管理总线协议参考资料.zip

2021-09-12

zynq开发文档.zip

zynq开发文档.zip

2021-09-02

zybo-7z10.zip

zybo-7z10.zip

2021-09-02

OAM开发过程中需要的库

OAM开发过程中需要的库

2021-09-02

bashrc.cshrc.zip

bashrc.cshrc.zip

2021-02-26

csh、bash.zip

csh、bash.zip

2021-02-26

Share_rpm.zip

Share_rpm.zip

2021-02-25

CentOS-Base.repo(centos7)

CentOS-Base.repo(centos7) 替换掉/etc/yum.repos.d/中的CentOS-Base.repo

2021-02-19

redhat-lsb.zip

redhat-lsb.zip

2021-01-23

libXScrnSaver-1.2.2-6.1.el7.x86_64.zip

INNOVUS152和GENUS152在linux必须安装的rpm包。

2021-01-17

Base_EXT15.10.000_lnx86_1of2.tar

Base_EXT15.10.000_lnx86_1of2.tar

2021-01-15

Base_EXT15.10.000_lnx86_2of2.tar

Base_EXT15.10.000_lnx86_2of2.tar

2021-01-15

HC74181(gpdk090、gsclib090).zip

基于cadence四位算术逻辑单元74HC181芯片的设计(gpdk090、 gsclib090工艺库)

2021-01-13

四路超声波测距.zip

四路超声波测距.zip

2021-01-13

(IC设计实验D触发器).zip

(IC设计实验D触发器).zip

2021-01-13

专业工程设计二(数字芯片74hc181)

专业工程设计二(数字芯片74hc181)

2021-01-13

gpdk090_v4.6.工艺库

cadence IC设计90纳米工艺库,仅供教学练习使用,请勿商用。

2020-03-26

IC617安装.pdf

IC615安装说明,新手如果不清楚他的安装过程,可以参考里面的步骤,很详细,可以解决掉你的疑问。。。

2020-03-22

智能窗户(stm32+ld3320).zip

智能窗户(stm32 + hmi陶晶池(4.3)+ 57步进电机 + ld3320语音模块)。文件包括源码+pcb+串口屏图片素材。

2020-01-31

OPENMV3_chen.zip

openmv3原理图,PCB,可直接打板使用!

2019-10-25

FDC2214_STM32_UART(福).zip

2018年电子设计大赛,stm32+hmi串口屏手势识别系统。区一。。

2019-10-10

无线仿真器资料_包含nRF24L01驱动.zip

无线仿真器资料》

2019-10-09

数字式相位测量仪F4代码.zip

stmf4+fpga+4.3寸TFTLCD屏,测量频率,相位差,占空比。 fpga代码请到此处下载 https://download.csdn.net/download/qq_40987215/11169489

2019-09-06

纸张计数测量.zip

2019年国赛F题纸张计数测量

2019-08-30

480x272(4.3).zip

4.3寸HMI串口屏代码,挺好用的人机交互显示屏,配合stm32f103进行在线调参,以及显示相关参数......

2019-08-22

2019年国赛(准备代码HMI).zip

在准备2019年国赛写的在线调参的HMI串口屏,内部有pid闭环在线调节,pwm输出,编码器脉冲捕获.......

2019-08-22

直流电机速度环PID闭环控制(CHEN).zip

支持HMI串口屏在线改pid参数,以及stm32f1可以通过串口读取hmi上的按键键值。

2019-07-23

eagle转AD的脚本文件ulp.zip

eagle转AD的脚本文件ulp

2019-07-20

AltiumDesigner_PcbLibrary-master.zip

相对较全的贴片AD库文件,基本满足所有开发的初学者,以及日常使用。

2019-07-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除