自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(148)
  • 资源 (18)
  • 收藏
  • 关注

原创 有趣的Hack-A-Sat黑掉卫星挑战赛——AES加密通信链路侧信道攻击leaky

2020年9月4日,美国白宫发布了首份针对太空网络空间安全的指令——《航天政策第5号令》,其为美国首个关于卫星和相关系统网络安全的综合性政策,标志着美国对太空网络安全的重视程度达到新的高度。在此背景下,美国自2020年起,连续两年举办太空信息安全大赛“黑掉卫星(Hack-A-Sat)”,在《Hack-A-Sat太空信息安全挑战赛深度解析》一书中有详细介绍,本文介绍了Hack-A-Sat黑掉卫星挑战赛的AES加密通信链路侧信道攻击leaky这道赛题的解题过程。

2023-04-15 20:07:35 883

原创 有趣的Hack-A-Sat黑掉卫星挑战赛——被破坏的阿波罗计算机(解法二)

2020年9月4日,美国白宫发布了首份针对太空网络空间安全的指令——《航天政策第5号令》,其为美国首个关于卫星和相关系统网络安全的综合性政策,标志着美国对太空网络安全的重视程度达到新的高度。在此背景下,美国自2020年起,连续两年举办太空信息安全大赛“黑掉卫星(Hack-A-Sat)”,在《Hack-A-Sat太空信息安全挑战赛深度解析》一书中有详细介绍,本文介绍了Hack-A-Sat黑掉卫星挑战赛的寻找阿波罗导航计算机中被修改的PI(apollo_gcm)这道赛题的解题过程。这里是解法二。

2023-04-15 19:59:50 554

原创 有趣的Hack-A-Sat黑掉卫星挑战赛——被破坏的阿波罗计算机(解法一)

2020年9月4日,美国白宫发布了首份针对太空网络空间安全的指令——《航天政策第5号令》,其为美国首个关于卫星和相关系统网络安全的综合性政策,标志着美国对太空网络安全的重视程度达到新的高度。在此背景下,美国自2020年起,连续两年举办太空信息安全大赛“黑掉卫星(Hack-A-Sat)”,在《Hack-A-Sat太空信息安全挑战赛深度解析》一书中有详细介绍,本文介绍了Hack-A-Sat黑掉卫星挑战赛的寻找阿波罗导航计算机中被修改的PI(apollo_gcm)这道赛题的解题过程。

2023-04-15 19:57:06 692

原创 有趣的Hack-A-Sat黑掉卫星挑战赛——卫星平台内存dump

美国自2020年起,连续两年举办太空信息安全大赛“黑掉卫星(Hack-A-Sat)”,在《Hack-A-Sat太空信息安全挑战赛深度解析》一书中有详细介绍,本文介绍了Hack-A-Sat黑掉卫星挑战赛的利用维护接口dump内存(patch)这道赛题的解题过程。

2023-02-26 21:16:36 660

原创 有趣的Hack-A-Sat黑掉卫星挑战赛——定位卫星Jackson

2020年9月4日,美国白宫发布了首份针对太空网络空间安全的指令——《航天政策第5号令》,其为美国首个关于卫星和相关系统网络安全的综合性政策,标志着美国对太空网络安全的重视程度达到新的高度。在此背景下,美国自2020年起,连续两年举办太空信息安全大赛“黑掉卫星(Hack-A-Sat)”,在《Hack-A-Sat太空信息安全挑战赛深度解析》一书中有详细介绍,本文介绍了Hack-A-Sat黑掉卫星挑战赛的定位卫星Jackson这道赛题的解题过程。

2023-02-11 21:49:56 1128

原创 有趣的Hack-A-Sat黑掉卫星挑战赛——跟踪卫星

2020年9月4日,美国白宫发布了首份针对太空网络空间安全的指令——《航天政策第5号令》,其为美国首个关于卫星和相关系统网络安全的综合性政策,标志着美国对太空网络安全的重视程度达到新的高度。在此背景下,美国自2020年起,连续两年举办太空信息安全大赛“黑掉卫星(Hack-A-Sat)”,在《Hack-A-Sat太空信息安全挑战赛深度解析》一书中有详细介绍,本文介绍了Hack-A-Sat黑掉卫星挑战赛的跟踪卫星这道赛题的解题过程。

2023-02-11 21:46:43 1115

原创 AES缓存碰撞攻击在美国太空安全挑战赛中的应用

通过对美军太空安全挑战赛中AES破解挑战的分析,提出了使用AES缓存碰撞时间攻击的破译思路,分析了AES缓存碰撞时间攻击的原理,将密钥可能空间缩小至6700万,成功利用密钥已知部分推测出未知部分,最终实现该攻击,验证了AES缓存碰撞时间攻击的实践可行性。

2022-11-05 22:14:58 1181

转载 “黑掉卫星Hack-A-Sat”太空信息安全挑战赛的基本情况

太空资产属于国家资产,太空安全关系国家安全。随着太空技术在政治、经济、军事、文化等各个领域的应用不断增加,太空已经成为国家赖以生存与发展的重要资源,凝聚着巨大的国家利益,太空安全的重要性日益凸显。而在信息化时代,太空安全与信息安全紧密地结合在一起。美国自2020年起,连续两年举办太空信息安全挑战赛“黑掉卫星(Hack-A-Sat)”,总共吸引了全球9000多人次参与,其中包括PPP、Dragon Sector、P4等众多顶级的职业CTF队伍。

2022-11-05 21:49:38 429

原创 RISC-V当前的研究情况

以下资料来自《A Free and Open ISA Enabling a Diversity of CPU Cores and Accelerators》

2017-06-26 21:02:46 4200 2

转载 基于DE2的开源片上系统Freedom E310移植

引言:伯克利大学于2014年发布了开源指令集架构RISC-V,其目标是成为指令集架构领域的Linux,应用覆盖IoT(Internet of Things)设备、桌面计算机、高性能计算机等众多领域[1]。RISC-V自发布以来受到多方关注和参与,围绕RISC-V的生态环境逐渐完善,并涌现了众多开源处理器及SoC(System on Chip)采用RISC-V架构,其中Rocket-Chip就是由伯

2017-06-10 11:36:22 3917 3

原创 开源处理器Rocket的分支预测机制研究与性能评估(三)

Rocket是基于RISC-V指令集架构的开源处理器,具有分支预测功能,其实现了GShare分支预测机制,在分析Rocket处理器分支预测处理过程、分支预测实现原理的基础上,利用模拟器进行了性能测试,并依据测试结果,对Rocket处理器分支预测参数配置给出建议。

2017-06-06 14:05:41 2217

原创 开源处理器Rocket的分支预测机制研究与性能评估(二)

Rocket是基于RISC-V指令集架构的开源处理器,具有分支预测功能,其实现了GShare分支预测机制,在分析Rocket处理器分支预测处理过程、分支预测实现原理的基础上,利用模拟器进行了性能测试,并依据测试结果,对Rocket处理器分支预测参数配置给出建议。

2017-06-06 14:01:16 4991

原创 开源处理器Rocket的分支预测机制研究与性能评估(一)

Rocket是基于RISC-V指令集架构的开源处理器,具有分支预测功能,其实现了GShare分支预测机制,在分析Rocket处理器分支预测处理过程、分支预测实现原理的基础上,利用模拟器进行了性能测试,并依据测试结果,对Rocket处理器分支预测参数配置给出建议。

2017-06-06 13:56:51 4371 1

转载 调试器工作原理(1):基础篇

转载自http://blog.jobbole.com/23463/本文是一系列探究调试器工作原理的文章的第一篇。我还不确定这个系列需要包括多少篇文章以及它们所涵盖的主题,但我打算从基础知识开始说起。关于本文我打算在这篇文章中介绍关于Linux下的调试器实现的主要组成部分——ptrace系统调用。本文中出现的代码都在32位的Ubuntu系统上开发。请注意,这里出现

2017-03-13 21:21:15 1123

原创 开源硬件3.0时代降临

开源硬件3.0阶段,特点是系统性、整体性开源,且有明确的组织、目标、路线图,代表项目就是开源指令集RISC-V,以及围绕RISC-V的一系列开源项目。RISC-V是加州大学伯克利分校设计并发布的一种开源指令集架构,其目标是成为指令集架构领域的Linux,应用覆盖IoT(Internet of Things)设备、桌面计算机、高性能计算机等众多领域。RISC-V自2014年正式发布以来,受到了包括谷歌、IBM、Oracle等在内的众多企业以及包括剑桥大学、苏黎世联邦理工大学、印度理工学院、中国科学院在内的众多

2017-03-02 13:53:34 1772

原创 旧板子新玩法——DE2上运行Freedom E310

众所周知,我有一块旧板子DE2-35,很久很久的那种,大概有十多年历史了,不过好在保养得比较好,现在还完好如初,最近心血来潮,打算在上面运行Freedom E310。Freedom E310是一个开源SoC,其处理器核心是RISC-V架构的开源处理器E3 Coreplex,由SiFive公司设计发布的。

2017-02-21 20:34:13 5177 4

原创 基于RISC-V架构的开源处理器及SoC研究综述(三)

RISC-V是一种新的指令集架构,发布以来得到了大量关注,在描述了RISC-V的产生背景、基本设计的基础上,简单比较了其与现有的开源指令集架构、商业指令集架构的优劣,随后详细介绍了现有的采用RISC-V架构的开源处理器、开源SoC,并展望了RISC-V的未来发展。

2017-02-12 22:32:49 11473

原创 基于RISC-V架构的开源处理器及SoC研究综述(二)

RISC-V是一种新的指令集架构,发布以来得到了大量关注,在描述了RISC-V的产生背景、基本设计的基础上,简单比较了其与现有的开源指令集架构、商业指令集架构的优劣,随后详细介绍了现有的采用RISC-V架构的开源处理器、开源SoC,并展望了RISC-V的未来发展。

2017-02-12 22:30:12 13644 1

原创 基于RISC-V架构的开源处理器及SoC研究综述(一)

RISC-V是一种新的指令集架构,发布以来得到了大量关注,在描述了RISC-V的产生背景、基本设计的基础上,简单比较了其与现有的开源指令集架构、商业指令集架构的优劣,随后详细介绍了现有的采用RISC-V架构的开源处理器、开源SoC,并展望了RISC-V的未来发展。

2017-02-12 22:24:56 21008 1

原创 FPGArduino在DE2-35上的移植

FPGArduino是一个挺有意思的项目,将一块FPGA开发板变为一个Arduino板,并且可以使用Arduino IDE进行程序编译下载,FPGArduino已经在很多FPGA开发板上试验过,可以参考http://www.nxlab.fer.hr/fpgarduino/,但是其中列出的FPGA开发板我都没有,只有一块DE2-35,幸好FPGArduino在DE0-nano上移植了,可以参考这个进行修改,下面就是修改步骤。

2016-06-30 19:59:06 2230 1

原创 PULPino在zedboard上的下载、测试

详细介绍了在zedboard上运行pulpino的步骤

2016-06-11 15:05:48 7783 5

原创 Chisel Tutorial(九)——状态单元

Chisel支持的最简单的状态单元就是上升沿触发的寄存器,可以使用如下方式例化:val reg = Reg(next = in)上述代码形成的电路就是:将输入赋值给输出,但是输出比输入延后一个时钟周期。此处没有申明变量reg的数据类型,Chisel会自动从输入变量in推测reg的类型。在Chisel中,clock、reset都是全局信号,不需要显示声明。使用寄存器可以组成许多有用的电路

2015-09-09 18:05:36 2564 1

转载 zedboard的demo评测

转载来自:http://blog.csdn.net/xiaoyangger/article/details/7970142Zedboard是第一款面向开源社区的Zynq-7000系列开发板,而Zynq-7000系列FPGA,也称为完全可编程(All Programable)SoC,是Xilinx一个有重大意义的产品系列。在FPGA里集成高性能的处理器内核一直是众多FPGA厂商以

2015-09-06 11:50:22 2423

原创 Chisel Tutorial(八)——运行与测试

前面我们已经定义了模块,本节讨论如何运行和测试一个电路。Chisel可以翻译得到C++或者Verilog。为了构建一个电路我们需要调用chiselMain,如下

2015-08-25 12:18:31 4426

原创 Chisel Tutorial(七)——模块

Chisel中的模块与Verilog HDL中模块的概念十分相似,都是用层次结构描述电路。Chisel中的module是一个类,其定义遵循以下几点: 继承自Module类, 有一个命名为io的端口, 在其构造函数中连接子电路如下是一个2选1选择器的模块定义:

2015-08-18 13:53:22 2382

原创 Chisel Tutorial(六)——端口

端口就是硬件单元对外的接口,需要指明方向(输入还是输出)。INPUT、OUTPUT指定方向,后面指出宽度,对于Bool类型,其宽度就是1,所以不需要明确指出。

2015-08-17 13:55:36 2138

原创 Chisel Tutorial(五)——Bundles与Vecs

Bundle与Vec也是Chisel定义的数据类型。Bundle是一些元素的集合,每个元素都有一个变量名,类似于C语言中的结构体。用户可以通过定义Bundle的子类来定义一个Bundle类型的变量

2015-08-14 13:52:06 3278 1

原创 Chisel Tutorial(四)——函数

我们可以把一些重复的逻辑定义为函数,然后在多处使用,例如:如下定义了一个简单的函数:def clb(a:UInt, b:UInt, c:UInt, d:UInt) = (a & b) | (~c & d)函数clb有四个参数a、b、c、d,此处的def是Scala中定义的关键字,用来定义函数,每个参数后面跟一个冒号,然后是数据类型。在参数之后定义返回类型,也可以不定义,Chisel会自动推测,上例中就没有定义返回类型。等号之后的就是函数体。

2015-08-12 13:14:52 2240

原创 Chisel Tutorial(三)——组合电路

在Chisel中每个电路都是一些node的集合,每个node是一个硬件操作单元,具有0个、1个或者多个输入,依据输入驱动一个输出。上一篇博客“Chisel Tutorial(二)——Chisel的数据类型”中介绍的变量就可以认为是一个0输入的node,其输出是一个固定值,也就是变量的值。不同的node可以通过操作符连接在一起,例如可以通过如下表达式表示一个简单的组合逻辑电路:

2015-08-11 13:32:28 3516

原创 Chisel Tutorial(二)——Chisel的数据类型

Chisel的数据类型用来指明在线上流动的信号(flowing on wire)、存储在状态元素(State Element)中的值的类型。对应Verilog HDL线网型、寄存器型变量的类型。虽然数字电路最终都是对二进制数字矢量进行操作,但是定义一些抽象的数据类型,有助于更为清晰的表达,同时也有利于产生更为优化的电路。具体有如下几种类型

2015-08-10 17:01:40 4977

原创 Chisel Tutorial(一)——Chisel介绍

Chisel是由伯克利大学发布的一种开源硬件构建语言,建立在Scala语言之上,是Scala特定领域语言的一个应用,具有高度参数化的生成器(highly parameterized generators),可以支持高级硬件设计。其特点如下,部分特点找不到合适的中文表述,暂时没有翻译,哪位童靴有合适的翻译可以及时说说啊。

2015-08-10 13:45:50 9833 2

原创 64位开源处理器Rocket的源代码简单介绍

最近大概阅读了一个UCB发布的Rocket处理器的源码,对源代码各个文件的功能有了一些大致的了解,Mark一下。Rocket是一款64bit的标量处理器,5级流水线,采用的是risc-v指令集,集成FPU,并有许多or1200没有的特性,比如:无阻塞缓存、分支预测、返回地址堆栈、硬件页表填充、cache支持ECC、支持多核等。Rocket的源代码是使用Chisel编写的,Chisel是UCB发布的基于Scala的领域特定语言。可以在https://github.com/ucb-bar/rocket下

2015-06-23 14:01:15 9907 12

原创 Chisel实验笔记(四)

在《Chisel实验笔记(二)》中,通过编写TestBench文件,然后使用Icarus Verilog、GtkWave可以测试,查看相关波形,比较直观,在《Chisel实验笔记(三)》直接对得到C++模拟器进行测试,也可以得到测试结果,实际上对C++模拟器进行测试也可以同时得到vcd文件,从而使用GtkWave查看波形,得到直观的结果。本文就介绍了这个过程。

2015-06-04 09:20:34 3892

原创 Chisel实验笔记(三)

在《Chisel实验笔记(一)》中我们得到了verilog文件,在《Chisel实验笔记(二)》中我们使用Icarus Verilog、GtkWave对的道德verilog文件进行了仿真测试,实际上,时欧诺个Chisel可以得到对应的C++文件,从而可以直接进行仿真,本文就介绍产生C++文件,进行测试的实验过程。

2015-05-29 11:59:43 6039

原创 Chisel实验笔记(二)

在上一篇《Chisel实验笔记(一)》中,我们的到了对应的verilog文件,本文将采用Icarus Verilog + GtkWave进行仿真验证。

2015-05-29 10:35:23 5223 3

原创 Chisel实验笔记(一)

最近在学习Risc-v,其中伯克利大学开源了一款兼容Risc-v指令集的处理器Rocket,而Rocket处理器是采用Chisel编写的,所以要学习Chisel,Chisel的简单介绍如下:Chisel (Constructing Hardware In a Scala Embedded Language).Chisel is a hardware construction language embedded in the high-level programming language Scala可以

2015-05-29 10:21:10 16305 1

原创 使用llcbench分析几款处理器的cache性能

使用llcbench分析了三款处理器的cache性能,分别是E5800、i3 550、i5 3550.

2015-02-02 14:23:46 2435 2

原创 使用llcbench测试缓存性能

使用llcbench测试了缓存的性能,介绍了测试过程,给出了一个测试结果

2015-01-31 12:10:37 5173 1

原创 gem5验证cache的不同映像方式对cache命中率的影响

cache有三种映像组织方式:全相联映射、直接映射、组相联映射,不同的映像组织方式对cache命中率的影响是不同的,通过试验验证cache的不同映像方式对cache命中率的影响

2015-01-29 11:06:36 3530

原创 gem5验证数组的缓存优化

软件优化是提高cache命中率的十分有效的手段,cache的基本原理是利用程序局部性,而软件优化可以通过提高程序局部性,从而提高cache命中率。通过gem5仿真验证程序优化对提高cache命中率的影响。

2015-01-28 21:04:04 1931 1

Jlink-v8固件烧写AT91-ISP v1.13及V8固件

用于解决国内JLINK使用时提示The use of the licensed software to operate SEGGER product clones is prohibited and illegal的问题

2017-02-26

OpenMIPS教学版讲解

OpenMIPS开始于2013年8月,目的是开发一款32位、兼容MIPS32指令集的开源软核处理器,便于老师教学、学生体会理解计算机体系结构课程的相关知识,同时也可以做实际用途。OpenMIPS将坚持自由软件的理念,保持开源的形式,同时采用商业友好的LGPL授权。

2015-09-22

《自己动手写CPU》除法指令实现

为OpenMIPS添加实现了除法指令,是《自己动手写CPU》的第七章

2014-09-12

自己动手写CPU第七阶段——实现乘累加乘累减指令

实现了MIPS32指令集规范中的乘累加、乘累减指令,有4条,包括:madd、maddu、msub、msubu

2014-09-03

《自己动手写CPU》第7章 简单算术指令实现

《自己动手写CPU》第7章 简单算术指令实现的代码 测试程序

2014-08-22

自己动手写CPU第六阶段——移动操作指令实现

自己动手写CPU第六阶段——移动操作指令实现

2014-08-13

自己动手写CPU第5阶段之一(数据相关问题的解决)

自己动手写CPU第5阶段之一(数据相关问题的解决)

2014-08-12

自己动手写CPU第4阶段(第一条指令ori的实现)

自己动手写CPU第4阶段(第一条指令ori的实现)

2014-07-28

开源处理器OpenMIPS模块连接关系图

开源处理器OpenMIPS模块连接关系图,使用VISIO绘制,十分详细,学习OpenMIPS必备利器

2014-07-23

MIPS编译器

mips gcc编译器,mips-sde-elf-i686-pc-linux-gnu

2014-06-21

DE2上使用OC8051运行点灯程序

资源里面包括详细说明和Quartus工程文件,其中将修改了的OC8051下载到DE2上,并且写了一段LED灯的测试程序,使用该测试程序初始化OC8051的ROM,使得OC8051下载到DE2上后,可以运行LED灯测试程序。 在文档中说明了如何修改OC8051的ROM

2013-03-23

DE2上使用OC8051运行LED点灯程序

资源里面包括详细说明和Quartus工程文件,其中将修改了的OC8051下载到DE2上,并且写了一段LED灯的测试程序,使用该测试程序初始化OC8051的ROM,使得OC8051下载到DE2上后,可以运行LED灯测试程序。 在文档中说明了如何修改OC8051的ROM

2013-03-23

OR1200各模块之间的连接关系图,使用VISIO绘制,理解源代码必备

OR1200各模块之间的连接关系图,使用VISIO绘制,理解源代码必备 共有两张图,一张描述的OR1200_TOP的各个模块连接关系,一张描述的OR1200_CPU中各个模块的连接关系,本人分析OR1200时自行绘制的,花了好几天啊,通过这两张图便于理解OR1200的代码。希望大家喜欢

2013-02-26

QMIS项目文件管理系统part2

QMIS项目文件管理系统是一个准开源的系统(之所以称之为准开源,是因为在系统中使用到了一个收费的Office中间件SOAOffice,用于实现文档的在线编辑,在此也希望大家能提供一款开源的Office中间件以替代SOAOffice,使得系统100%开源),本系统可以用于公司项目文档的管理、办公自动化等场合。采用VS2008开发,开发语言为C#,是ASP.net应用程序。另外使用了多种开源工具,如下:  Ext.net1.2.0(使用Ext.net搭建了整个系统框架、Ajax模型)  DotLucenc2.0.0.2(实现全文检索)  PDFBox1.6(实现PDF文件的读取)  KindEditor 4.0.6(实现在线编辑)  strawberry 0.1.7(实现流程绘制)  dhtmlxGantt(实现甘特图的显示、修改)  KTDictSegAnalyzer(实现中文分词)  SWFUpload(实现文件上传) 在此,对上述软件的作者表示感谢、致敬。 QMIS项目文件管理系统实现的功能有:  文档的在线管理  员工之间文档共享  文档的传递  详细的文件修改日志  基于文档的流程控制  项目文件一键归档  项目进度的甘特图显示  新闻公告的编辑、发布  全文检索  权限控制  公司部门、角色、人员管理  文档的在线编辑、数字印章(使用到收费软件SOAOffice) 因为本人权限限制,一次正能上传60M,所以代码被压缩成两个压缩包上传,大家要下载到这两个压缩包才可以使用

2012-04-15

QMIS项目文档管理系统part1

QMISQMIS项目文档管理系统是一个准开源的系统(之所以称之为准开源,是因为在系统中使用到了一个收费的Office中间件SOAOffice,用于实现文档的在线编辑,在此也希望大家能提供一款开源的Office中间件以替代SOAOffice,使得系统100%开源),本系统可以用于公司项目文档的管理、办公自动化等场合。采用VS2008开发,开发语言为C#,是ASP.net应用程序。另外使用了多种开源工具,如下:  Ext.net1.2.0(使用Ext.net搭建了整个系统框架、Ajax模型)  DotLucenc2.0.0.2(实现全文检索)  PDFBox1.6(实现PDF文件的读取)  KindEditor 4.0.6(实现在线编辑)  strawberry 0.1.7(实现流程绘制)  dhtmlxGantt(实现甘特图的显示、修改)  KTDictSegAnalyzer(实现中文分词)  SWFUpload(实现文件上传) 在此,对上述软件的作者表示感谢、致敬。 QMIS项目文档管理系统实现的功能有:  文档的在线管理  员工之间文档共享  文档的传递  详细的文件修改日志  基于文档的流程控制  项目文件一键归档  项目进度的甘特图显示  新闻公告的编辑、发布  全文检索  权限控制  公司部门、角色、人员管理  文档的在线编辑、数字印章(使用到收费软件SOAOffice) 因为本人权限限制,一次正能上传60M,所以代码被压缩成两个压缩包上传,大家要下载到这两个压缩包才可以使用

2012-04-15

Net版PDFBox1.6.0 DLL+VS2008例子

编译得到PDFBox11.6.0的net版DLL,另外还有VS2008中使用的例子。

2012-03-26

Ext.net+swfupload实现文件上传

Ext.net+swfupload实现上传组件。 特点: (1)适合嵌入到Ext.net项目中。 (2)避免了Ext.net的上传控件的缺点。Ext.net中上传控件在文件上传到服务器后在判断后缀、大小是否符合要求,这样在网速限制的情况下用户体验非常糟糕,往往等很长时间后得到“上传文件过大”的错误。本组件在客户端判断文件大小、类型,有效避免了上述问题。

2011-12-03

通过Openh323开发自己的H.323协议栈.pdf

本文分成三个部分介绍Openh323和RTH323,第一部分是Openh323中所创立的实体的介绍,作者选取了其中最重要的部分进行介绍,然后第二部分是对Openh323发起一个呼叫和收听一个呼叫的实现过程,最后一个部分是如何从Openh323发展成商业版本的RTH323。 作者介绍:卢政,毕业于莫斯科动力学院自动控制系,曾参与过航空发动机燃烧室数据采集系统的设计,是RTH323的设计人之一,同时也是多个俄罗斯的多个OpenSource项目的参与者,目前正在参与开放式小型网关和Open SIP,WQER远程接入服务器的开放原码开发项目。

2010-01-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除