自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(326)
  • 资源 (21)
  • 收藏
  • 关注

原创 Xilinx FIFO使用小结

2,较大的存储应用,建议用bram;零星的小ram,一般就用dram。但这只是个一般原则,具体的使用得看整个设计中资源的冗余度和性能要求。3,dram可以是纯组合逻辑,即给出地址马上出数据,也可以加上register变成有时钟的ram。而bram一定是有时钟的。1,物理上看,bram是fpga中定制的ram资源,dram就是用逻辑单元拼出来的。

2024-04-03 15:34:52 175

原创 1sigma的精度

根据他的计算,如果有68.26%的合格率,便是±1Sigma(或Standard deviation,即标准方差),±2Sigma有95.44%的合格率,而±3Sigma便达至99.74%的合格率。当数据分布比较分散(即数据在平均数附近波动较大)时,各个数据与平均数的差的平方和较大,方差就较大;样本方差和样本标准差都是衡量一个样本波动大小的量,样本方差或样本标准差越大,样本数据的波动就越大。就是方差的平方根:一组数据中的每一个数与这组数据的平均数的差的平方的和再除以数据的个数,取平方根既是。

2024-03-26 10:26:40 330

原创 MIPI RX Subsystem IP

由于使用的四Xilinx的MIPI RX Subsystem IP解决方案,所以用户能配置的部分其实并不多。但是这个Subsystem内部其实是2个IP构成,一个是MIPI-DPHY,另外一个是MIPI-CSI2接口,然后两个IP之间采用PPI接口互联。

2024-03-05 17:03:20 368

原创 向axis-fifo写入数据的方法

【代码】向axis-fifo写入数据的方法。

2024-02-19 14:17:52 396

原创 axi-gpio的初始化配置

【代码】axi-gpio的初始化配置。

2024-02-19 14:14:57 400

原创 SPI协议的4种模式

https://blog.csdn.net/buhuidage/article/details/121180622MODE=0MODE=3

2024-01-11 17:51:01 445

原创 zynq spi-emio的使用方法

zynq中spi的配置。四、vitis初始化。

2024-01-05 15:32:54 648

原创 Vivado ILA的debug信息保存与读取

保存读取。

2024-01-04 11:18:01 365

原创 pl向ps发送数据的代码

ZYNQ通过AXI DMA实现PL发送连续大量数据到PS DDR

2023-11-13 14:23:15 182

原创 时序约束四

vivado时序分析 实例

2023-04-23 15:02:35 261 1

原创 mipi数据帧结构

嵌入式开发之mipi干货mipi-rx之软件篇 mipi-rx之硬件篇

2023-03-13 10:35:00 448

原创 【无标题】

这里要注意的是, bayer每个像素的值是8位的. 但是有的相机的bayer格式却有10位, 12位以及14位, 16位的, 那么如何将这些高于8位的数据转换为8位数据呢?. 拿12位数据来说, 有的人是取高8位或是低8位, 那么这样就会出现一个问题, 这张图像会有一个斜度, 不是偏亮就是偏暗, 或是出现其它乱七八糟的问题, 颜色问题总是不能令人满意. 这个时候就要去较正它, 无疑是浪费了时间。下面说一下从bayer转换成rgb图的算法, RGB图, 即为三色图, 一个像素点就由RGB。

2023-03-08 14:24:33 213

原创 BLDC和PMSM的区别

当然,BLDC可以仿照这样的方式,在二二通电的线圈中,根据HALL位置信息,先角度估算,在这二个通电的线圈中构造出正弦波,来进行FOC控制方式。在转子上有永磁体,定子上有三相绕组。BLDCM采用绕组两两导通,每相导通120°电角度,每60°电角度换相,只需要换相点位置检测。BLDC的驱动常见的是梯形换相,因此永磁体磁场向量和定子磁场向量总不能完全重合,存在一定的前后夹角,这也就是BLDC力矩波动和噪声的理论来源。一、BLDC和PMSM的结构是相似的,区别在于反电动势波形的样子,一个是梯形波,一个是正弦波。

2023-02-23 10:57:06 1841 1

原创 XHS信号和XVS信号

这是模拟视频信号的概念,摄像头读取图像信号是一行行读取,读完一帧画面就是一场,接受端显像管还原图像时也是一行行扫描,为了和摄像头图像同步,图像信号就有了行同步,场同步。在slave模式下需要向CMOS提供周期稳定的XHS和XVS信号,两者的时序要求如下图所示。控制部分为摄像头上电,IIC控制接口,数据输出为摄像头拍摄的图像传到主控芯片,所有要有DATA、行场同步和时钟信号。摄像头有两种同步方式:行同步信号(HSYNC)和场同步信号(VSYNC)2)XVS和XHS信号的低电平保持时间为4~100个INCK;

2023-02-07 10:08:45 1771 1

原创 MIPI扫盲系列博文(目录篇)

MIPI扫盲系列博文(目录篇)

2023-02-07 09:51:27 274

原创 硅光电倍增管(MPPC/SiPM)基础知识

硅光电倍增管(MPPC/SiPM)基础知识

2023-02-06 13:47:37 449

原创 vdma的使用方式

ZYNQ学习之旅–PS_AXI_VDMA(利用VDMA实现将PS端的数据显示在PL端的HDMI上)基于ZYNQ UltraScale+ MPSoC 实现 MIPI数据接收+VDMA传输到DDR+UDP协议发送数据包

2023-02-01 14:13:19 234

原创 常见图像格式

RAW文件是一种记录了数码相机传感器的原始信息,同时记录了由相机拍摄所产生的一些元数据(Metadata,如ISO的设置、快门速度、光圈值、白平衡等)的文件。YUV是指亮度参量和色度参量分开表示的像素格式,而这样分开的好处就是不但可以避免相互干扰,还可以降低色度的采样率而不会对图像质量影响太大。YUV是一个比较笼统地说法,针对它的具体排列方式,可以分为很多种具体的格式。主要的采样格式有YCbCr 4:2:0、YCbCr 4:2:2、YCbCr 4:1:1和 YCbCr 4:4:4。

2023-02-01 11:40:07 917

原创 FPGA时序约束经历之输出延时约束(set_output_delay)

FPGA时序约束经历之输出延时约束(set_output_delay)VIVADO时序约束之Output Delay(set_output_delay)Vivado下如何阅读时序报告

2023-01-06 15:52:15 539

原创 vivado出现时序违规时的常用处理方法

Vivado时序违约小结

2023-01-06 15:33:49 1441

原创 vivado进行时序分析的方法

打开方式有两种,一种是在reports-timing-report timing summary;在device界面会显示当前这条路径的起始位置和结束位置;3、还可以对这条路径进行高亮化处理,便于观察它的具体位置。一、打开report timing summary。双击选中第一条路径,会显示该条路径的详细时序信息;1、点击上图中0.166 位置就会跳转界面。4、在原理图中观察这个路径。二、打开具体路径分析。5、显示路径详细信息。

2023-01-06 14:57:24 2629

原创 Xilinx FPGA器件中时钟资源的说明以及使用 --ibufg ibufgds

对FPGA设计而言,全局时钟是最简单最可预测的时钟,最好的时钟方案是:由专用的全局时钟输入引脚驱动单个全局时钟,并用后者去控制设计中的每个触发器。全局时钟资源是专用布线资源,存在与全铜布线层上,使用全局时钟资源不影响芯片的其他布线资源,因此在可以使用全局时钟的时候尽可能使用。第二全局时钟资源属于长线资源,长度和驱动能力仅次于全局时钟资源,也可以驱动芯片内部的任何一个逻辑,抖动和延时仅次于全局时钟。从内部输入则可以选择内部逻辑的任意信号,在FPGA内部是没有差分信号的,所有内部时钟信号都是单端信号。

2023-01-06 10:18:39 1931

原创 VIVADO异步时钟域约束(groups)

1、先在synthesis 中打开 report clock interaction ,可以看到没有约束的异步时钟之间用红色标记;2、在synthesis中打开edit timing constraints 设置异步时钟;3、异步时钟设置完成后。

2023-01-05 17:36:37 1853

原创 异步电路和同步时序电路、同步复位与异步复位的区别

电路主要信号、输出信号等都是在某个时钟沿驱动触发器产生的;不利于静态时序分析(STA)、验证设计时序性能。利于静态时序分析(STA)、验证设计时序性能。异步时序电路的最大缺点是容易产生毛刺;电路核心逻辑是用各种触发器实现;同步时序电路可以很好的避免毛刺;异步电路和同步时序电路的区别。电路核心逻辑有用组合电路实现;

2023-01-05 11:09:59 573

原创 ZYNQ的时钟系统及fclk时钟组约束

ZYNQ PS端最多可以分配四个时钟供给PL端使用,通过PS端供给PL使用的时钟,在最后添加约束文件时,只需要对功能I/O进行约束,不需要再关注时钟约束的问题。以上主要是针对于zynq7000系列芯片的时钟系统介绍,参考文档是ug585.2、针对于zynq ultral scale 系列芯片,可参考文档ug1085。3、关于ps端提供的时钟是否需要进行时钟约束。但是如果需要做时钟组约束,可参考链接。1、时钟系统可参考链接。

2023-01-05 10:54:10 1057

原创 Vivado 布局布线约束之pblock

布局约束Pblock设置方法

2023-01-04 18:06:07 1190

原创 MDIO 的C22和C45介绍

https://blog.csdn.net/rhythmwang/article/details/62039140https://blog.csdn.net/yhs18200259681/article/details/99652701

2022-12-21 15:56:48 1586

原创 BLDC无刷直流电机的原理及驱动基础

无刷直流电机(BLDC,也称为马达驱动)是电机和控制技术相结合的产品,电调控制电机的运行,从电流驱动角度来看,无刷直流电机可分为正弦波驱动和方波驱动。在方波控制里,主要是对两个量进行控制,一个是电机转子位置对应的开管状态,有Hall时,通过Hall信息获取转子位置,无传感器时,通过反电动势信息获取转子位置,从而决定开管状态;对于Hall 方波控制来说,电机启动时,就已经知道电机转子位置,直接用hall 状态对的矢量力矩去拉电机,就可启动电机,并可直接进闭环控制。一、方波控制理论基础。二、方波算法实现步骤。

2022-10-27 17:11:38 5428 1

原创 BLDC驱动介绍

1、无刷直流电机要转动,须先根据转子位置传感器的输出信号确定转子位置。2、然后通过电子换相线路去驱动电机本体使电枢绕组(定子绕组)依次馈电。3、从而在定子上产生旋转的磁场,驱动永磁转子转动。

2022-10-27 17:08:46 1452

原创 python+pycharm+pyqt5的使用方式汇总

pycharm的下载及安装。

2022-10-25 09:25:07 476

原创 python pip下载速度过慢 提高速度的方法

加上这一句 速度直接起飞。

2022-10-21 14:36:09 444

原创 LPDDR4的配置

添加链接描述

2022-09-29 14:37:59 728

原创 carry8

二、carry8的例化及端口功能说明。三、carry8的内部架构。参考ug574第23页。一、carry的构成。

2022-09-29 10:25:48 1384

原创 温度计码与二进制码的换算关系

【代码】温度计码与二进制码的换算关系。

2022-09-27 15:13:22 9368

原创 carry4 的构成

SI [3:0] 是两个加数的异或,位宽为4;CO是进位输出,位宽为4;DI [3:0] 是数据的输入(两个加数的任意一个),位宽为4;CI 是上一个 CARRY4 的进位输出,位宽为1;DI是数据的输入(两个加数的任意一个),位宽为4;CI是上一个CARRY4的进位输出,位宽为1;O [3:0] 是加法结果输出,位宽为4;CYINT 是进位的初始化值,位宽为1;CYINT是进位的初始化值,位宽为1;CO[3:0] 是进位输出,位宽为4;SI是两个加数的异或,位宽为4;O是加法结果输出,位宽为4;

2022-09-27 11:30:06 1093

原创 vivado 常见引脚约束文件的写法--通配符及bit文件压缩

【代码】vivado 常见引脚约束文件的写法--通配符。

2022-09-01 17:17:39 1265

原创 一种ila新的写法

【代码】一种ila新的写法。

2022-08-25 15:20:17 236

原创 DMA和burst不是一个概念

DMA传送不经过CPU的控制,假如硬盘的数据不能经过DMA控制器读到内存,那么每完成一次将硬盘的数据读出来,再存放到内存的操作,都要通过CPU运行几条读写指令来完成,这时CPU就做不了别的事了,如果有DMA控制器,则这个过程不需要CPU的参与,只需要占用总线就可以了。Burst操作还是要通过CPU的参与的,与单独的一次读写操作相比,burst只需要提供一个其实地址就行了,以后的地址依次加1,而非burst操作每次都要给出地址,以及需要中间的一些应答、等待状态等等。1、普通的内存、外设间互传数据,一次性的。.

2022-08-11 17:37:55 626

原创 Xilinx IP解析之AXI SmartConnect Processor System Reset v5.0的介绍

https://blog.csdn.net/sz_woshishazi/article/details/109328751

2022-06-30 16:19:43 1330

原创 无刷直流电机介绍

一、原理介绍无刷直流电机是典型的机电一体化产品,它由类似于永磁同步电动机的电机本体、转予位置传感器和功率变换主电路三部分组成。它不仅保持了直流电机良好的调速和控制性能,而且在工作原理上也和直流电机相似。不同之处在于它的转子采用永磁体并形成主磁场,而电枢绕组在定子上,这恰恰与有刷直流电机相反。无刷直流电机的工作原理就是利用转子位置传感器输出的位置信号,通过电子换向电路去驱动与电枢绕组连接的功率开关器件,使电机的电枢绕组依次导通,在定子上产生旋转磁场,与转子磁场相互作用,形成电磁转矩,拖动永磁转子旋转。随

2022-06-28 10:03:43 895

axi-stream data fifo 介绍

axi-stream data fifo 介绍

2022-05-17

是axi接口的读写ram的仿真测试文件。

是axi接口的读写ram的仿真测试文件。

2022-05-16

sv_sim_template.zip

sv_sim_template.zip

2021-11-11

STM32F429_SDRAM_STMCUBE.zip

stm32f429 ,利用stm32cube配置fmc接口,实际测试可以正常使用。

2020-04-09

STM32Cube_LWIP_TFTP.zip

STM32Cube_LWIP_TFTP教程,使用stm32cube软件,在正点原子的阿波罗平台上进行测试。实际使用可以正常通过TFTp协议更新stm32内部代码。

2020-01-13

STM32Cube_LWIP_Test_udp_client.zip

STM32Cube_LWIP_Test_udp_client.zip 是udp客户端实验。试了好多次终于给调通了,实际调试很麻烦。实现了一个回显的功能。 1、在PC端建立udp服务器,设备会自动连接。

2020-01-07

STM32Cube_FW_F4-master .zip

是官方资料,从github上下载下来备份的。资料很全很丰富。还是很不错的。可以下载看看。怎么还不能提交呢?

2020-01-07

STM32Cube_LWIP_ UDP server

STM32Cube_LWIP_ UDP server 是udp server,需要PC和设备在同一网段,然后将PC的端口设置成设备里制定的远传端口。就可以通信了。

2020-01-03

STM32Cube_LWIP_Test tcp-server

stm32cube教程 之tcp server,已经测试通过,可以直接用。端口是7.实际上测试是可以的。

2020-01-02

STM32Cube_LWIP_Test.zip

stm32cube 配置lwip 以及Lan8720的使用,是一个客户端,可以自动连接电脑上的服务器。

2020-01-02

QNavigator_V1.6.8最新版本

QNavigator 是最新版本的软件。可以用来调试移远的NB-IOT模块

2019-01-03

硬件产品研发流程

资料还不错,留着备用。真的很不错哦,你看字数不够都不能提交。

2018-10-26

Modbus的CRC校验工具

可以作为modbus工具,用来计算crc的值。符合主流的Modbus校验。在两个项目中进行过验证。

2018-04-20

计算PWM控制下的平均电流

定时器定时触发ADC采集,并用DMA搬运。实际测试满足设计要求。

2017-10-24

esp8266 的gpio口操作。

在上进行开发测试,利用sdk,可以实现GPIO的操作,成功点亮了led.

2017-09-08

安信可官方AT固件

是从安信可心在的AT固件。

2017-08-25

8266 AT固件

这个是8266的AT固件,实际测试可以正常使用。

2017-08-23

nodemcu原理图和PCB工程

nodemcu原理图和PCB工程

2017-08-21

nodemcu下载工具

nodemcu的下载工具。

2017-08-21

FreeRTOS移植示例

FreeRTOS移植示例

2017-06-27

stm32移植LWIP协议并验证DHCP功能

在stm32f103zet6上移植了lwip协议,并验证了DHCP功能。

2017-06-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除