自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3299)
  • 资源 (35)
  • 收藏
  • 关注

转载 解决Ubuntu SSH登录时过慢的问题----本人亲测可行

对于hosts这一行,它指定了如何去查找dns主机,file dns是其默认值,而[notfound=return]([status=action])指定了不同状态时的操作,这里指没有找到就返回。还提到一种方法,就是在/etc/hosts中加入客户端的IP地址和域名,个人认为这种方法,对于大家都访问的服务器不太契合实际,就没有测试。在前面虽然解决了问题,但是心中总感觉还是有什么堵着的,只知其然,不知其所以然,所以继续Google就发现以下内容。/ssh_config文件,将其中的。测试,效果立即显现。

2024-04-19 22:38:46 10

转载 如何取消Ubuntu的密码登录,实现自动登录----本人亲测可用

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。原文链接:https://blog.csdn.net/q35126156/article/details/126540328。在虚拟机上安装了Ubuntu,切换到虚拟机的时候,总是需要输入密码,以下方法可实现取消密码登录,实现自动登录。4.将Automatic Login打开,完成。2.点击Users->点击Unlock。1.打开右上角的Setting。

2024-04-18 00:35:22 14

转载 TPMS方案 传感器 infineon篇 (SP35 SP37)

2、SP37胎压传感器资料----1、SP37机密资料----

2024-03-24 23:35:55 37

转载 ubuntu22.04下rv1109 rootfs编译问题处理

在git.buildroot.org中下载了最新的buildroot文件,在里面找到fakeroot目录下:fakeroot.hash与fakeroot.mk文件。更改mksquashfs相关文件,去掉bwriter_buffer,与fwriter_buffer的定义,改为‘extern’。将新的fakeroot.hash与fakeroot.mk文件拷贝到buildroot/package/fakeroot目录下。修改 Src/qtbase/src/corelib/global/qglobal.h。

2023-12-23 14:57:06 275

转载 QT去除QString字符串中空格的方法

原文链接:https://blog.csdn.net/wYANGwcc/article/details/132575365。这个方法会清除字符串首尾的空格,且字符串中间的空格都转换为一个空格。结果:”aa ss ff”QString去掉字符串中的空格_qstring去除空格_Pailugou的博客-CSDN博客。//\t,\n,\v,\f,\r都属于simplified()处理的空白范围。结果:” aa\t ss\n ff”1.清除字符串首尾的空格,且字符串中间的空格都转换为一个空格。

2023-12-14 12:10:01 476

转载 【QT】Qt 使用MSVC2017找不到编译器的解决办法

Windows SDK官网链接:https://developer.microsoft.com/zh-cn/windows/downloads/windows-sdk/版权声明:本文为CSDN博主「Cappuccino-jay」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/Cappuccino_jay/article/details/125550242。再次打开Qt,发现调试器已经添加上了。默认选择,点击下一步。

2023-12-07 22:53:15 1272

转载 Verilog和VHDL的区别

相关文章1、vhdl与verilog的区别----2、vhdl与verilog的区别是什么----3、什么是vhdl与verilog----Verilog和VHDL是硬件描述语言,用于为电子芯片编写程序。这些语言被用于不共享计算机基本架构的电子设备中。VHDL是这两种语言中较早的一种,它基于Ada和Pascal,因此继承了这两种语言的特点。Verilog是相对较新的,它遵循C编程语言的编码方法。VHDL是一种强类型的语言,不是强类型的脚本,是无法编译的。

2023-11-26 09:06:49 208

转载 Notepad++如何跳到指定行

1、notepad++如何跳到指定行----

2023-11-10 14:45:50 494

转载 【烧脑技术贴】无法回避的字节对齐问题,从八个方向深入探讨(变量对齐,栈对齐,DMA对齐,结构体成对齐,Cache, RTOS双堆栈等)

RTOS任务栈的关键依然是8字节对齐问题,如果仅仅是满足4字节对齐,就会出现我们前面printf和sprintf浮点数或者64bit数据的错误问题,早年各种RTOS移植案例还不是那么发达的时候(现在问题依旧),经常在这个地方入坑,加上硬件浮点寄存器入栈出栈后更是玩不转了。为什么会造成这个问题,根本原因依然是前面AAPCS规约的要求,RTOS的移植都有个汇编的port文件,这个port文件的关键是实现任务切换,任务切换的关键就是进入任务前保证PSP是8字节对齐。d是8字节对齐,这样就是16字节。

2023-10-25 19:59:01 301

转载 cmd.exe 的命令行启动参数(可用于执行命令、传参或进行环境配置)

我们都知道如何在 cmd.exe 中启动一个程序,但是当你需要自动启动这个程序的时候,你就需要知道如何通过 cmd.exe 来启动一个程序,而不是手工输入然后回车运行了。我的博客会首发于 https://blog.walterlv.com/,而 CSDN 会从其中精选发布,但是一旦发布了就很少更新。原文链接:https://blog.csdn.net/WPwalter/article/details/94128623。原因,/X 与 /E:ON 相同,/Y 与 /E:OFF 相同,且 /R 与。

2023-10-23 11:40:09 1293

转载 CodeWarrior IDE使用Tips-使用burner将elf文件转换生成HEX和BIN文件的方法和步骤详解

实际上在CodeWarrior IDE中集成的burner工具(针对S08、S12(x)以及MagniV S12Z系列),其功能十分强大,不但可以将CodeWarrior IDE自动编译生成的.abs文件,并提取其中的编程数据将其转换为S19/BIN/HEX等不同格式输出为变成文件,还可以将任何合法的elf文件识别并完成同样的功能;Tips:这里是转换输出为HEX文件,所以输出文件名为output.hex,若要输出bin文件,则将其后缀修改为.bin,同时选择对于的文件类型即可。

2023-10-20 23:46:10 542 2

转载 linux内核驱动 DMA Engine使用

directions,一个bitmap,表示该controller支持哪些传输方向,包括DMA_MEM_TO_MEM、DMA_MEM_TO_DEV、DMA_DEV_TO_MEM、DMA_DEV_TO_DEV,具体可参考enum dma_transfer_direction的定义和注释,以及[2]中相关的说明。为什么强调这种差别呢?那谁知道是否可以传输呢?因此,需要DMA传输的设备和DMA控制器之间,会有几条物理的连接线(称作DMA request,DRQ),用于通知DMA控制器可以开始传输了。

2023-10-19 22:39:11 225

转载 STM32之串口DMA接收不定长数据

题外话:其实,上面的问题是很有必要思考一下的,不断思考,才能进步。

2023-10-18 22:36:42 315

转载 DMA使用问题总结

需要注意的是,DMA传输的长度和存储数据的地址需要提前进行配置。如果传输长度不足存储数据的地址全部存满,则不会触发传输完成中断,也不会触发传输一半中断。在使用DMA进行数据传输时,可以通过配置传输长度、存储数据的地址和中断使能等参数来实现对DMA传输过程的控制和管理。DMA中断是在DMA传输完成或出现错误时触发,而触发传输完成中断的条件是DMA传输完成所有数据,即存储数据的地址全部存满。因此,一旦DMA传输开始,必须把存储数据的地址全部存满才会触发传输完成中断。2、STM32串口DMA发送数据丢失----

2023-10-18 22:27:49 57

转载 (CCS) 集成开发环境 (IDE)的说明书

原文地址::

2023-10-17 22:31:19 108

转载 Qt: 按行/逐行 创建/清空/读写文本

原文链接:https://blog.csdn.net/omg_orange/article/details/130094771。版权声明:本文为CSDN博主「蜗牛在听雨」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。QFile file("要读的文件路径");二、创建文本文件 + 逐行写。

2023-09-22 22:31:51 875

转载 如何下载UsbTreeView

版权声明:本文为CSDN博主「__xu_」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/xuxu_123_/article/details/130632589。UsbTreeView的下载地址:https://www.uwe-sieber.de/2,以树状的形式显示连接到PC机中的所有USB设备,集线器和控制器。3,可完美的分析连接到PC机中USB设备的各类USB描述符信息。1,实时显示USB设备的连接状态。

2023-08-25 11:02:47 340

转载 bat, shell脚本ssh自动输入密码

我电脑是win11,并且自带ubuntu系统,打开cmd,然后输入ubuntu,可以直接进入ubuntu系统,然后执行简单的linux命令。所以我写了一个bat脚本,ssh209.bat,内容是:start vbs209.vbs。那么你在任何路径下执行ssh209,就能直接进入这个20.9的服务器里。那么这个脚本会很简单,直接是。然后保存在/usr/bin下,那么你在任何路径下执行ssh209,也能进入这个服务器里。这样,在任何路径下,我只要执行ssh209就可以不用在输入密码的情况下进入远程服务器。

2023-08-21 15:22:58 1187

转载 STM32 CAN通讯配置

CAN通信帧共分为数据帧、远程帧、错误帧、过载帧和帧间隔,本文这里以数据帧为例。显性电平对应逻辑0,CAN_H和CAN_L之差为2.5V左右。而隐性电平对应逻辑1,CAN_H和CAN_L之差为0V, 数据帧有标准帧和扩张帧两种格式,一个11位,一个29位扩展帧的仲裁域有29位,可以出现2^29中报文,且在数据链路上是有间隙的(对操作者透明),帧ID的范围是0000 0000-1FFF FFFF。(PS:目的就是构造29位的CAN ID,可以实现更加庞大的ID群)标准帧。

2023-08-21 14:02:20 177

转载 Qt打开串口号大于10的串口失败

1、Qt使用第三方串口类qextserialport无法打开串口的可能解决方案(文章中描述的可以解决)----com10或者以上的端口则经过库函数转换成标准名称才用于设备操作,库函数没考虑超过十个串口,所以出问题了。MS的软件什么事情干不出来,软件bug吧,\\.\com10是标准设备名称,自然是可疑打开的,而直接用。

2023-07-24 15:02:40 503

转载 车辆ECU诊断方法和系统与流程

2、汽车破解笔记系列:反向推断哪些CAN ID属于同一个ECU?3、汽车CAN诊断协议和破解例子----1、CAN总线快速了解----

2023-07-23 17:00:24 114

转载 汽车ECU基础知识

2、汽车bcm是什么意思?1、汽车电控单元ECU----

2023-07-23 15:45:15 154

转载 SC7A20获取三轴加速度值

原文链接:https://blog.csdn.net/qq_43538613/article/details/118901748。#define SC7A20_I2C_WADDR 0x30 //I2C写地址:7位I2C地址+一位写。#define SC7A20_I2C_RADDR 0x31 //I2C写地址:7位I2C地址+一位读。//I2C_SDA线输出。//I2C_SDA线输出。

2023-07-20 21:11:19 2427 7

转载 Linux下创建GPIO(/sys/class/gpio)

通过sysfs方式控制GPIO,先访问/sys/class/gpio目录,向export文件写入GPIO编号,使得该GPIO的操作接口从内核空间暴露到用户空间,GPIO的操作接口包括direction和value等,direction控制GPIO方向,而value可控制GPIO输出或获得GPIO输入。一、系统中有没有“/sys/class/gpio”这个文件夹。原文链接:https://blog.csdn.net/u010164190/article/details/68923171。

2023-07-07 09:35:23 1019

转载 MCU_STM32F4xx使用CCM RAM

原文地址::MCU_STM32F4xx使用CCM RAM_core coupled memory_高精度计算机视觉的博客-CSDN博客

2023-06-30 15:13:47 826

转载 linux 用户态和内核态通信之netlink机制介绍与实例

它通过为内核模块提供一组特殊的API,并为用户程序提供了一组标准的socket 接口的方式,实现了一种全双工的通讯连接。类似于TCP/IP中使用AF_INET地址族一样,netlink socket使用地址族AF_NETLINK。成员 nl_family为协议簇 AF_NETLINK,成员 nl_pad 当前没有使用,因此要总是设置为 0,成员 nl_pid 为接 收或发送消息的进程的 ID,如果希望内核处理消息或多播消息,就把该字段设置为 0,否则设置为处理消息的进程 ID。1、netlink机制。

2023-06-26 17:31:08 249

转载 Linux power_supply子系统

在init函数中先是在/sys/class下创建power_supply目录后,注册uevent回调还有初始化节点,但是这边有个疑问这些节点是如何注册进去的,调用power_supply_register的时候有指定了power_supply_desc *desc这个参数,在这个结构体中properties指定相应的类型后就会注册相应的节点。struct power_supply抽象psy设备,用来描述所有的psy设备,后续创建psy设备,只需要在数据结构中申明struct power_supply。

2023-06-25 21:14:19 507

转载 Cadence之Allegro:覆铜及其删除、隐藏

在覆铜前需要画出板框外形,这里要用到两种网络类型:Board Geometry–Outline(白线)和Route Keepin(黄线),且要保证前者比后者覆盖的范围要大。->Display->Shape_fill->no_etch_shape_display选项打勾则隐藏,否则显示。」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。第二个红色箭头是要选择覆铜所属的网络,一般是GND。1、关于Allegro 16X版本,铺铜卡死问题的一个可行解决方案----

2023-06-21 20:12:54 1805

转载 Allegro 铺铜设置

当有死铜时,标题栏Shape->Delete Islands会出现如下属性,死铜的个数。敷铜完成后发现仍有连线需要调整,如果删除顶层和地层的敷铜,调整完连线重新敷铜当然没问题,但是浪费了重新敷铜的时间。在allegro中,对于双面板,完成布局布线后,检查DRC所有错误都已解决,对PCB板进行正片敷动态铜。Find面板中选择Shape,在PCB设计区选中要删除的覆铜,这样覆铜就会被删除掉。一般的说覆铜用的栅格可以比布线用的栅格粗糙。2、PCB设计-Allegro软件入门系列-铺铜操做(下)----

2023-06-20 21:52:26 1292

转载 allegro如何设置布线默认过孔Via

1、allegro如何设置布线默认过孔Via----

2023-06-20 10:16:35 395

转载 S19文件和HEX文件解析

上面图片中“S3”为类型,“15”为长度,意味着整行记录(除类型和长度)总共有21字节,“00008020”为该记录起始地址,“54455001000000000000000000000000”为数据,共16个字节,“70”是校验和,这一行就是将数据一次刷写到起始地址为“20100060”的连续地址中去,上一行的数据结构也是如此对应的。该地址就作为一下每行的起始地址中的高位,第二行记录中的地址“0000”为低位,那么第二行记录的起始地址就是“20100000”,

2023-06-13 21:57:54 1038

转载 使用Cadence绘制PCB流程(个人小结)

在设计多层PCB电路板之前,设计者需要首先根据电路的规模、电路板的尺寸和电磁兼容(EMC)的要求来确定所采用的电路板结构,也就是决定采用4层,6层,还是更多层数的电路板。”->”File_management”->”Output_dir”,设定”ads_sdart”项的”Value”内容为指定目录名称,如“gerber”,则在生成gerber数据操作时,会自动在当前pcb文件目录下生成“gerber”文件夹,在该文件夹下保存有所生成的全部gerber文件。针对不同的封装,需要制作不同的Padstack。

2023-06-12 14:29:44 1477

转载 Allegro导出dra封装文件中pad(焊盘)的方法

在代工厂反馈的问题当中,有一类问题我遇到的最多,就是我所采用的封装不符合工厂的设计规范,需要对器件的封装做出调整。当然,这种方法很有局限性,只能导出规则的焊盘,即Circle,Square,Oblong,Rectangle,Octagon,对于。psm很容易生成,pad就没那么简单了,在网上搜寻了一下,似乎没有特别好的解决方案,于是我想简单分享一下我的方案。3. 将鼠标移植右侧菜单Options上,会出现该封装中所用的焊盘,双击需要导出的焊盘,例如PAD20x36。

2023-06-11 23:37:47 1770

转载 Allegro软件导入网表以后,为什么在PCB板上看不到元器件呢?

如图6-36所示,这个案例就表示了网表已经成功导入,一共有451个器件,现在这些器件全部在后台,并未放置到PCB中,我们要做的就是将这些器件放到PCB中,进行PCB设计;第二步,查看了状态之后,需要指定封装库路径,才可以将PCB器件全部放置出来,执行菜单命令Setup-User preference,进行参数设置,如图6-37所示,在弹出的界面中,在左侧选择Paths,下面选择到Library,进入到封装库指定,需要指定三个封装库路径:Devpath:指定封装的device文件;(以上内容来源于凡亿教育)

2023-06-11 23:37:11 694

转载 Cadence学习之路(七)PCB创建与网表导入

然后打开Allegro PCB Edit,在Setup - User Preference中设置Paths中的psm与pad的路径,他们是你封装与焊盘的路径文件,如果没设置,导入网表会失败。1.一般情况下,大公司里的板框由结构工程师给出,他订好后导出DXF文件,再给到PCB工程师导入PCB工程文件中,并且设置keepin keepout,如下图是四轴飞行器的板框DXF文件。步骤:菜单栏选择Edit - Z-copy,在右侧菜单栏选择你要粘贴到的类与子类,如下图。

2023-06-11 23:04:55 848

转载 Orcad Captue原理图更改后同步更新到Allegro PCB

此时如果想修改原理图,修改保存后,重新生成创建网表Create Netlist后,回到Allegro PCB页面,点击File中的Update Layout,1、打开原理图,点击选中下打开的DSN文件,点击Tools中的Create Netlist,点击确定,创建成功后,output下输出的就是三个网表文件。如果对于已经画好的PCB,后期发现需要更改原理图的某个部分,原理图更改后,怎么同步更新到PCB中,而不变动原来已经布好局、布好线的的PCB呢?2、请教:如何实现orcad与allegro同步?

2023-06-11 22:46:06 667

转载 AD/Allegro:0603、0805、1206尺寸封装设计资料

确定好焊盘后,确定两个焊盘直接的距离,一般以实物的长度为标准,0603就是1.6mm,0805就是2.0mm。最大工作电压与电阻器的阻值无关。封装尺寸是长x宽,0805,0603,1206 这些单位是英制,0805代表0.08英寸*0.05英寸,而1英寸=25.4毫米(注意:封装尺寸是实物封装的尺寸,不是焊盘的或者PCB封装图的尺寸,PCB封装图的尺寸会稍微大些)最常用的精度是 1%和 5%,一般场合使用5%精度,有精度要求的使用 1%电阻,比如 DCDC,电流采样,特殊要求的根据实际情况选择更高精度的。

2023-06-11 21:42:48 2498

转载 Cadence Allegro如何调用本地封装库

软件,执行菜单命令Setup-User Preferences,在如图所示的用户参数窗口进行库路径的指定,对debpath,padpath及psmpath库进行所需要调用封装库所在路径的指定,这样就可以调用本地的pcb封装库。1、请教:allegro的pcb库中,0805之类的基本贴片封装在哪里----debpath:封装中device文件指定路径。psmpath:封装中psm文件指定路径。padpath:封装中焊盘文件指定路径。

2023-06-11 21:33:43 1425

转载 ORCAD CAPTURE 软件自带元件库介绍

共222个零件,存放高功率杂项图件(未分类),如REF-01,PWR505,TPS67341等。共210个零件,存放晶体管(含FET,UJT,PUT等),如2N2222A,2N2905等。共182个零件,存放模拟放大器IC,如CA3280,TL027C,EL4093等。共365个零件,存放线性杂项图件(未分类),如14573,4127,VFC32等。共872个零件,存放分立式元件,如电阻,电容,电感,开关,变压器等常用零件。共305个零件,存放锁存器,如4013,74LS73,74LS76等。

2023-06-11 21:19:40 709

转载 cadence 如果拷贝别人图纸中的原件封装

首先打开需要拷贝库的原理图,和需要拷贝到某一个库的库文件,在在design cashe 中选择元件, 然后 Edit---> Copy。版权声明:本文为CSDN博主「ywhfdl」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。在提取原件封装的过程中,PCB提取原件库相对简单点,原理图麻烦点!拷贝好之后,再切换到你的库文件目录下,Edit---> paste 就好了。然后稍等一下,去文件夹下面看,PCB的全部库文件都在你的文件夹下面了!剩下的就是自己DIY了!

2023-06-11 12:34:23 444

Esp8266_Wifi原理图

Esp8266_Wifi原理图----ESP8266模块的原理图,很有参考的价值!!!

2018-12-11

STC15系列单片机数据手册.pdf

STC 15系列单片机 数据手册,类型很全。好不容易获取到的。

2015-05-07

SMDK6410_WinCE6.0_SDK.msi

SMDK6410_WinCE6.0_SDK.msi 很好很全的一个SDK包!

2014-12-31

TCPIP示例程序

TCPIP示例程序,包括服务端跟客户端程序

2014-12-18

TPS659101A1RSL(PMU).pdf数据手册

TPS659101A1RSL(PMU).pdf数据手册,支持的ARM核芯很多!有三星的S5PV210和飞思卡尔的IMX51

2014-08-20

SIM900A_AT命令手册V1[1].02.pdf

SIM900A_AT命令手册V1[1].02.pdf

2014-06-07

I2C协议中英文对照版本

I2C协议中英文对照版本----增加有目录,方便信息查找!

2013-12-07

VS2005下可用的mscomm组件

VS2005下可用的mscomm组件----一般装了VS2005但不会有mscomm组件,所以VS2005里面要用得装一个这个

2013-11-23

allegro+16.5教程.pdf

allegro+16.5教程.pdf----allegro Cadence 16.5 教程

2013-11-08

Allegro_SPB_16-3速成教材.pdf

Allegro_SPB_16-3速成教材.pdf----Allegro Cadence 16.3 速成

2013-11-08

PADS2007中文教程----增加目录

对PADS2007的各个组件都有专门的一个文档来进行介绍,并且是出自其在中国的总代理公司比思电子公司之手,不错的入门文档!

2013-10-23

C函数详细说明

C 函数详细说明,清华大学2000级 李训耕 收集整理,2001年5月。

2013-08-26

UT-S3C6410开发板WinCE6.0使用手册.pdf

UT-S3C6410开发板WinCE6.0使用手册.pdf

2013-08-23

道路运输车辆卫星定位系统平台数据交换

JT-T809-2011道路运输车辆卫星定位系统平台数据交换.pdf JTT-T808-2011道路运输车辆卫星定位系统终端通信协议及数据格式.pdf 道路运输车辆卫星定位系统北斗兼容车载终端技术规范.pdf 道路运输车辆卫星定位系统北斗兼容车载终端通讯协议技术规范.pdf

2013-07-08

Pads2007_logic元器件建库.pdf

Pads2007_logic元器件建库.pdf,很不错的入门手册,很适合初学者!

2013-04-07

Hex与Bin文件相互转换工具软件

Hex与Bin文件相互转换工具软件。很好用的有个小工具

2013-03-09

WINCE下的串口调试助手与串口分离软件

WINCE下的串口调试助手与串口分离软件,用于调试多路虚拟串口很有用

2013-02-03

WINCE下的串口调试助手加串口分离软件

其中包括WinCE6.0下的Splitter Mobile破解版、WinCE串口调试助手和使用参考视频

2013-02-01

WinCE6.0下的驱动调试助手

WinCE6.0下的驱动调试助手,包括了进程管理器、截屏工具和寄存器读写工具等调试WinCE时的实用功能,该程序只能在ARM平台的WinCE6.0下使用,不支持WinCE5.0和其他平台。

2013-02-01

WINCE_拨号上网配置.doc

WINCE_拨号上网配置.doc,很详细的介绍了WINCE下的拨号上网流程

2013-01-29

WINCE下注册表编辑器

WINCE下注册表编辑器,非常好用的一个工具软件,在WINCE60下可用

2013-01-27

WINCE下的串口调试助手

WINCE下的串口调试助手 是中文版本的 在WINCE60下用过是可以跑起来的

2013-01-27

3G模块U6100_WINCE驱动程序的使用方法以及U6100在WINCE平台上的拨号上网操作方法.pdf

3G模块U6100_WINCE驱动程序的使用方法以及U6100在WINCE平台上的拨号上网操作方法.比较详细的介绍了WINCE下设置3G上网的过程,带图示。

2013-01-27

60分钟学会OrCAD中文教程(SIG007版).pdf

60分钟学会OrCAD中文教程(SIG007版).pdf----简单的Cadence画原理图的入门级材料

2012-12-30

PADS2007中文教程

对PADS2007的各个组件都有专门的一个文档来进行介绍,并且是出自其在中国的总代理公司比思电子公司之手,不错的入门文档!

2012-12-30

PADS2007.4最新完美破解对丁.rar

PADS2007.4最新完美破解对丁,针对PADS2007,虽然有点老啊!但技术都是相通的

2012-12-28

PADS2007详细安装步骤.pdf

PADS2007的详细安装步骤,讲的比较有条理,很不错的资料!!!入门级的

2012-12-28

SourceInsight个人配置文件

SourceInsight个人配置文件,看代码比较舒服的配置文件及字体

2012-06-07

win32 api manual

win32 api manual----介绍WIN32 API的手册,很详细的不可多得的资料

2012-06-06

ZTE Android系统ril驱动说明书

ZTE Android系统ril驱动说明书

2011-12-15

MCIMX28 LCD datasheet

MCIMX28 LCD使用的Seiko4.3" 43WVF1G手册资料

2011-09-21

DA9053 datasheet

DA9053 datasheet IMX用的PMIC

2011-08-21

计算文本中十六进制的校验和

计算文本中十六进制的校验和.在VC++60下测试通过.很好用的一个小工具.

2009-10-19

PC上播放视频

PC上播放各种视频文件的应用程序

2008-07-26

VC++下叠加字符Fliter

介绍在VC++6.0下如何写叠加字符的Fliter

2008-04-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除