自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(34)
  • 资源 (1)
  • 收藏
  • 关注

原创 Verilog编程巩固

目录在线练习实践记录1.门电路1.1与门1.2非门1.3或非门2.组合电路2.1半加器2.2全加器2.3二选一多路复用器3.时序逻辑3.1D触发器3.21到12计数器3.3带复位按钮的D触发器在线练习在线上Verilog编程网站学习。从门电路、组合电路、时序电路中各选3个以上的例题实践完成。在线练习网站.实践记录1.门电路1.1与门module top_module( input a, input b, output out ); assign out=a&am

2021-06-28 22:05:13 133

原创 基于卷积神经网络的猫狗识别

环境:python 3.6.1 : Anaconda 3这份数据集来源于https目录数据处理设计神经网络训练模型数据处理将图片数据处理为 tf 能够识别的数据格式,并将数据设计批次。首先用get_files() 方法读取图片,然后根据图片名,添加猫狗 label,然后再将 image和label 放到 数组中,打乱顺序返回;然后将处理好的图片 和label 数组 转化为 tensorflow 能够识别的格式,然后将图片裁剪和补充进行标准化处理,分批次返回。接着新建数据处理文件 ,文件名 inpu

2021-06-18 12:58:11 333

原创 VGA协议与图像输出Verilog编程

目录VGA简介实验过程VGA简介VGA接口示图VGA信号线定义显示器扫描方式分为逐行扫描和隔行扫描:逐行扫描是扫描从屏幕左上角一点开始,从左像右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行同步;当扫描完所有的行,形成一帧,用场同步信号进行场同步,并使扫描回到屏幕左上方,同时进行场消隐,开始下一帧。隔行扫描是指电子束扫描时每隔一行扫一线,完成一屏后在返回来扫描剩下的线,隔行扫描的显示器闪烁的厉害,会让使用者的眼睛疲劳。

2021-05-27 14:57:55 238

原创 信息熵与压缩编码基础

目录信息熵简介信息熵运算实例香农编码编码步骤实例演示最终编码结果霍夫曼编码编码步骤实例演示最终编码结果信息熵简介信息是个很抽象的概念。人们常常说信息很多,或者信息较少,但却很难说清楚信息到底有多少。比如一本五十万字的中文书到底有多少信息量。  直到1948年,香农提出了“信息熵”的概念,才解决了对信息的量化度量问题。信息熵这个词是C.E.香农从热力学中借用过来的。热力学中的热熵是表示分子状态混乱程度的物理量。香农用信息熵的概念来描述信源的不确定度。  信息论之父克劳德·艾尔伍德·香农第一次用数学语言

2021-05-26 22:01:25 205

原创 HLS编程环境入门

目录HLS(high-level synthesis)简介HLS与VHDL/Verilog的关系HLS目前所面临的技术难题HLS(high-level synthesis)简介高层次综合(High Level Synthesis, HLS)是Xilinx公司推出的最新一代的FPGA设计工具,它能让用户通过编写C/C++等高级语言代码实现RTL级的硬件功能。随着这款工具的出现,软硬之间的区别越来越模糊,即使你对于硬件完全不懂,你也能编写出符合工程功能要求的RTL代码。可以将其看作一种简化了学习成本的硬件

2021-05-23 15:04:18 112

原创 FPGA的NIOS--II开发入门

目录实验环境实验内容实验步骤一、qsys系统设计实验总结实验环境软件部分:Quartus II 13.1 (64-bit)中自带的qsys模块(原Platform Designer)以及eclipse模块硬件部分:Cyclone IV E芯片实验内容使用 FPGA 资源搭建一个简单 Nios II 处理器系统,具体包括:(1) 在 Quartus Prime 中建立一个工程;(2) 使用 PD 建立并生成一个简单的基于 Nios II 的硬件系统;(3) 在 Quartus Prime 工

2021-04-24 20:49:43 376 1

原创 FPGA设计入门—1位全加器

目录环境配置实验过程程序演示结果环境配置编程方式为原理图输入,目标芯片为cycloneIVE系列的EP4CE11529C7, 基于Quartus13.1的开发环境实验过程新建工程以后,在电路图中放置两个输入端、两个输出端以及一个AND2的与门和一个XOR或门,并按上图方式连接将此电路图保存为“half_adder”(半加器)并编译,编译成功才能使用选中半加器,在File->Create/Update->Create Symbol Files for Curren Files中将此

2021-04-08 13:56:09 1407

原创 机器学习数学基础之Python矩阵运算

目录Python矩阵基本运算Python矩阵操作Python矩阵乘法Python矩阵转置Python求方阵的迹Python方针的行列式计算方法Python求逆矩阵/伴随矩阵Python解多元一次方程微分、梯度的含义微分梯度梯度下降法梯度下降法求解回归方程的python代码参考引用Python矩阵基本运算Python矩阵操作创建矩阵与行列转换的功能函数,而在Python中也较多使用二维数组替代矩阵来进行运算Python矩阵乘法矩阵乘法的定律检验,要区分数乘与矩阵相乘的情况,后者将前后次序调转也

2021-04-05 18:44:27 592 4

原创 线性/非线性规划问题求解

目录媒体组合案例解析建立数据源建立数据约束调用Excel规划求解模块结论媒体组合案例解析建立数据源建立数据约束在C10输入上图语句作为输出目标此为本工程的约束条件调用Excel规划求解模块数据->规划求解此图中目标相当于方程组中的Z值,而可变单元格即为变量在求解模块中添加以上的约束条件,并选择单纯线性规划的求解方法结论求解完成,相关方程组咨询量最大为39100次,而当取得最大值时,各变量的具体值如上...

2021-03-31 17:14:15 109

原创 数字电路基础与Quartus-II入门

目录Quartus-II的安装参考引用Quartus-II的安装百度网盘下载链接:https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA提取码:ifte下载完成得到以上三个包,打开最后一个以管理员身份运行setup程序,进入安装安装过程一路next即可,需要注意的是安装路径不能含有中文和空格安装完成后一路OK便可打开quartus,通过File->New Project新建工程芯片选择为实验所需的指定型号工程配置完成通过File

2021-03-31 00:09:34 211

原创 线性分类的Jupyter实践

目录一、鸢尾花数据集的线性多分类二、可视化显示三、精度测试实验四、参考引用一、鸢尾花数据集的线性多分类import numpy as npfrom sklearn.linear_model import LogisticRegressionimport matplotlib.pyplot as pltimport matplotlib as mplfrom sklearn import preprocessingimport pandas as pdfrom sklearn.preproce

2021-03-25 15:18:00 151

原创 ROS通信编程与仿真工具

未完成,请等待。。。

2021-03-20 16:43:28 94

原创 数据的回归与分类分析

目录身高遗传问题父子身高遗传母子身高遗传Anscombe四重奏Anaconda环境下的SVM线性分类身高遗传问题父子身高遗传父子身高遗传回归分析如上图,根据图上可知父子身高的回归方程约为Y=0.399X+39.1,所以当一个父亲身高75英寸,可以粗略地推算出他的孩子身高为69.025英寸母子身高遗传母子身高遗传的回归分析如上图Anscombe四重奏以下有四组数据,它们的分布和发展趋势都不同,但经过回归分析以后,却发现这四组数据的回归方程与R值、P值都是一样的通过右侧的散点图,我们

2021-03-18 14:05:39 347

原创 机器人操作系统(ROS)入门

目录关于ROSUbantu ROS实操参考引用关于ROS什么是ROS? ROS产生、发展和壮大的原因和意义?硬件技术的飞速发展在促进机器人领域快速发展和复杂化的同时,也对机器人系统的软件开发提出了巨大挑战。机器人平台与硬件设备越来越丰富,致使软件代码的复用性和模块化需求越发强烈,而已有的机器人系统又不能很好地适应需求。相比硬件开发,软件开发明显力不从心。为迎接机器人软件开发面临的巨大挑战,全球各地的开发者与研究机构纷纷投入机器人通用软件框架的研发工作当中。在近几年里,产生了多种优秀的机器人软件框架,为

2021-03-03 22:04:55 292

原创 绘制stm32最小系统的PCB图

目录建立工程文件绘制原理图安装元件库添加芯片绘制网络编号最小系统的电路原理图参考资料建立工程文件1、点击file-new-project=pcbproject即可创建一个新的工程文件2、在右侧右击刚刚创建的工程标题然后点击save project即可将工程文件保存在自己选定的文件路径下,文件名可自行更改3、创建新的原理图工程文件,点击刚刚创建的项目,然后选择add new to project-schematic完成该步操作,如下图4、根据喜好可关闭图中网格和右下题注释,先点击propre

2021-01-13 23:12:37 138

原创 基于JSP的数据查询web系统

目录环境说明实验要求原代码结果展示引用借鉴环境说明eclipse(JDK1.6)&MySQL8.0&Tomcat8.5其中Tomcat与eclipse(Java环境)没有发现明显差异,但数据库版本一定要注意,因为MySQL数据库在近几个版本间的加载驱动、jar包等有较大改变实验要求首先在Mysql中创建相应的学生成绩表,然后基于 JSP+Mysql 做一个简单的学生成绩查询web系统原代码https://download.csdn.net/download/explain_si

2021-01-09 15:05:02 103

原创 STM32的PWM和DAC练习

目录PWM波形输出DAC波形输出PWM波形输出DAC波形输出

2021-01-04 16:54:34 68

原创 HTTP协议和MQTT协议实践

目录HTTP协议实践读取指定城市的天气预报信息给指定手机发送验证码MQTT协议实践HTTP协议实践读取指定城市的天气预报信息给指定手机发送验证码MQTT协议实践

2021-01-01 21:34:58 352 1

原创 STM汇编程序设计

作业补完计划进行中,请稍事等待。。。

2020-12-28 20:58:30 63

原创 基于SPI接口的OLED数据采集显示

作业补完计划进行中,请等待。。。

2020-12-27 18:53:53 259

原创 I2C温湿度传感器采集

硬件I2C与软件I2C的区别

2020-12-22 20:57:37 536

原创 STM32串口通信

1.两种电平标准TTL标准:当电平处于2.4~5V之间时,表示逻辑1;当电平处于 0 ~0.5V时,表示逻辑0。RS-232标准:当电平处于-15~-3V之间时,表示逻辑1;当电平处于3 ~15V时,表示逻辑0。2.RS-232标准的传输距离及抗干扰能力更好。重点是两种标准的转换。USB转串口通讯USB转串口主要是设备跟电脑通信,该过程需要电平转换芯片来实现,常用的芯片有CH340,PL2303,CP2102,FT232。使用的时候需要安装电平转换芯片的驱动。3.原生的串口到串口主要是控制器跟串

2020-12-05 14:35:31 374

原创 Socket实战——Teardrop代码编程

目录准备阶段抓包验证参考资料准备阶段将虚拟机网络适配器的连接模式更改为桥接模式进入虚拟机,创建一个名为Teardrop(泪滴攻击)的文件,并输入以下代码#include <stdio.h>#include <stdlib.h>#include <unistd.h>#include <string.h>#include <netdb.h>#include <netinet/in.h>#include <net

2020-12-03 20:44:55 234

原创 FreeRTOS入门——多任务程序

目录主函数应用函数方法函数参考资料主函数int main(void){ /* 第一步:开发板硬件初始化 */ BSP_Init(); /* 第二步:创建APP应用任务,所有的应用任务都可以放在这个函数里面 */ AppTaskCreate(); /* 第三步:启动FreeRTOS,开始多任务调度,启动成功则不返回 */ vTaskStartScheduler(); while (1) { }

2020-12-03 11:38:44 124

原创 TCP编程-端口扫描器

目录前期准备单线程端口扫描多线程端口扫描引用前期准备首先进入vs2015新建一个项目选择窗体应用程序在From1.cs将应用界面设计好,需要注意对文本框编辑性和按钮的属性要一一确认,具体操作可参考鄙人上一篇博客单线程端口扫描对于单线程的端口扫描可参考如下代码using System;using System.Windows.Forms;using System.Net;using System.Net.Sockets;namespace PortScan{ public

2020-11-17 19:01:00 354

原创 Linux系统(Ubuntu和树莓派)的远程操作练习

目录实验记录引用实验记录首先安装格式化内存卡的工具SD Card Formatter,将树莓派的SD卡用读卡器连接到计算机点击Formatter后选择确定格式化完成后便进入了镜像烧录过程先点击CHOOSE OS选择下载好的镜像文件,再点击CHOOSE SD CARD选择要烧入的内存卡最后点击WRITE->YES开始烧录漫长的等待。。。接下来是配置网络的步骤,本文提供手机热点的连接方法①在电脑上,打开刚才烧录镜像的SD卡②在boot目录下,建立一个ssh的空文件③在bo

2020-11-10 17:03:50 73

原创 嵌入式学习记录(一)

目录Ubantu下可视化前端工具下载Proteus仿真STM32流水灯实验引用Ubantu下可视化前端工具下载(注:本文提供Kdbg的下载方法)在Ubantu中输入sudo apt-get install kfbg后,提供sudo权限便可开始下载在问询界面输入Y等待下载完成即可!Proteus仿真STM32流水灯实验首先在protues中新建一个工程,并在Schematic Design页面选择DEFAULT在下一页面选择创建PCB的版图设计在第三页面将工程配置如下,正确选择所

2020-11-08 19:28:03 154

原创 网络通信编程见山之门

实验目录C#“hello world”程序C#编写From窗口,完成输入发送的功能WireShrak抓包分析引用C#“hello world”程序新建一个控制台应用程序using System;using System.Collections.Generic;using System.Linq;using System.Text;using System.Threading.Tasks;namespace ConsolTest{ class Program {

2020-10-31 15:37:55 120

原创 视频图像处理——OpenCV库

注明:由于本人Ubantu系统安装有误,所以本文仅提供Windows上opencv库的应用目录OpenCV库的安装WindowsUbantu18.04安装过程图片灰度化网络摄像头与简单视频处理引用OpenCV库的安装在此介绍Windows10与Ubantu18.04两种操作系统的安装过程Windows需要预先下载OpenCV3.4.1的安装包(官网或绿色软件网站皆可),然后解压文件,再点击下图所示应用程序进行库的安装接下来需要配置系统环境变量找到OpenCV包的安装位置在系统环境变量汇

2020-10-30 19:17:26 301

原创 追本溯源——编译器的深入浅出

闲言碎语:曾听人说过,一幅常人眼里精美绝伦的美术艺术品,对大师级的绘画者来说,只是不同线条和色彩的有机结合。美术大师的眼睛仿佛一把锐利而精准的手术刀,能将画作抽丝剥茧,拆解为最基础的一笔一划。我想,所谓“外行看热闹,内行看门道”不外于此。即是说,境界越高深,所感悟的意境却越原始。这里面似乎又包含有几分返璞归真的禅意。那么对于计算机而言,究竟要领会到什么意念,见到什么风景才能称得上“返璞归真”?也许,理解编译器的运作机理只是其中一步。看山是山,看水是水。看山不是山,看水不是水。看山还是山,看水还是水。

2020-10-16 16:51:13 86

原创 入门级MFC程序实验报告——用代码建立第一个窗体

入门级MFC程序实验报告前期准备代码编译实验结果与注意事项参考资料前期准备使用软件:Microsoft Visual C++ 6.0进入vc6.0以后创建一个新工程,名为MiniDraw进入选项页面直接选择空工程即可接下来是准备工程内的代码文件,一共需要两个Header File(头文件)、两个Source File(源文件)以及一个Resource Script(资源脚本)前期准备工作完成,具体代码如下代码编译DrawApp.h#ifndef WANGYUGAN_DEAWAPP_H

2020-10-13 18:47:35 300

原创 浮沙筑塔——protues仿真C51程序之LED灯闪烁

零、闲话三两不得不惊叹于计算机功能的强大且全面,分明与51单片机是不同宗不同源的两样机种,其效用却能在计算机上完美复现。在21世纪的新世代人类眼里,“电脑”或许只是“网络”最完美的载体,当人们纷纷沉溺在虚拟现实的幻想梦境般的软件中时,他们不会意识到,计算机居然能真正完成硬件的仿效——当然protues仿真软件并没有在技术上实现什么天沟鸿堑的突破。不过,诸位,诸位是否有所感想,仿制真实这一机制,本身不就是一场神迹般的魔幻么?就像在虚浮的流沙之上,筑立了一座通天的高塔。一、keil5程序编译首先,单片机由

2020-10-06 22:43:34 924 2

原创 WIN32 application——Windows窗体程序之问题求诊

引言:近日鄙人研习网络通信编程课业,于导师所布置的第一项的习作便遇到困难,望各位偶然相遇的陌生人能予以解答,感激不尽!问题描述:相应代码如下程序语种:C++编译软件:Microsoft Visual C++6.0#include <windows.h>#include <stdio.h>LRESULT CALLBACK WinSunProc( HWND hwnd, //handle to window UINT uMsg, /

2020-09-23 18:14:19 1020

原创 Ubantu简单入门——开启第一个“hello world”

闲话三两:有关虚拟机和Linux的东西,鄙人曾摸索过Linux中的Red Hat、Debian与CentOS,遗憾的都只是浅尝辄止,未能深入钻研了解。不过对于虚拟机virtual box和VMware的使用体验倒却有话可讲。依愚之见,能选择VMware还是要尽量选用,至少在鄙人与鄙人多位导师的学习实验中,virtual box并未表现出比VM更高明的地方,古旧的界面只给人留下单调的视觉印象,包括安装镜像文件的过程也不如VM生动具体。在软件性能不是天差地别的情况下,评一款产品的优劣还是使用体验不是?毕竟

2020-09-22 20:17:57 146

基于JSP的javaweb学生管理系统

eclipse&MySQL8.0&Tomcat8.5

2021-01-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除