自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

跑得动就不要歇着

人生除了喜怒哀乐,还有诗和远方

  • 博客(613)
  • 资源 (133)
  • 收藏
  • 关注

原创 MATLAB在simulink仿真中出现的重要错误及解决办法

如果MATLAB在simulink仿真中出现了以下的重要错误:The block diagram 'dyq1995' uses bus signals. However,the 'Mux blocks used to create bus signals' diagnostic is not configuredto 'error'. To prevent modeling errors:...

2019-12-03 17:12:03 12431 1

原创 MySQL 数据类型的介绍,好东西与大家分享

MySQL 数据类型MySQL中定义数据字段的类型对你数据库的优化是非常重要的。MySQL支持多种类型,大致可以分为三类:数值、日期/时间和字符串(字符)类型。数值类型MySQL支持所有标准SQL数值数据类型。这些类型包括严格数值数据类型(INTEGER、SMALLINT、DECIMAL和NUMERIC),以及近似数值数据类型(FLOAT、REAL和DOUBLE PRECISI...

2019-07-21 09:17:57 459

原创 每天都有新发现之MySQL数据库的删除

在上篇博文中讲述了如何创建数据库,下面来介绍一下如何删除它,请大家批评指正!!!使用普通用户登陆 MySQL 服务器,你可能需要特定的权限来创建或者删除 MySQL 数据库,所以我们这边使用 root 用户登录,root 用户拥有最高权限。在删除数据库过程中,务必要十分谨慎,因为在执行删除命令后,所有数据将会消失。drop 命令删除数据库drop 命令格式:drop data...

2019-07-21 09:10:37 342

原创 新手带你学之SQL 视图(Views)的创建、更新和删除

下面针对SQL视图的创建、更新和删除进行简单的介绍,有兴趣的小伙伴请多多交流!!!SQL CREATE VIEW 语句在 SQL 中,视图是基于 SQL 语句的结果集的可视化的表。视图包含行和列,就像一个真实的表。视图中的字段就是来自一个或多个数据库中的真实的表中的字段。您可以向视图添加 SQL 函数、WHERE 以及 JOIN 语句,也可以呈现数据,就像这些数据来自于某个单一的表...

2019-07-19 16:03:38 3737 5

原创 每天都是新开始之:Android 活动介绍与使用

下面来简单介绍一下Android 活动的原理及其相关使用实例,请大家多多参考!!!Android 活动(Activity)活动代表了一个具有用户界面的单一屏幕,如 Java 的窗口或者帧。Android 的活动是 ContextThemeWrapper 类的子类。如果你曾经用 C,C++ 或者 Java 语言编程,你应该知道这些程序从 main() 函数开始。很类似的,Android ...

2019-06-07 09:37:47 334

原创 SOPC的终极演化——Noc架构,几分钟看完,带你告别盲区

Noc架构就是利用区域网络的路由节点结构,将所有的应用处理器连起来的一种单芯片内部封包交换网络通信系统,这种架构的最大优点就是:各个应用处理器之间的沟通都是通过类似TCP/IP协议的封包形式传输,使多工系统中的每个任务都能依照其时限的要求,被分派到合适的处理器上进行。

2022-10-24 16:00:57 1089 1

原创 Proteus仿真之工业顺序控制实验

工业顺序控制实验在工业控制中,象冲压、注塑、轻纺、制瓶等生产过程,都是一些继续生产过程,按某种顺序有规律地完成预定的动作,对这类继续生产过程的控制称顺序控制,倒注塑机工艺过程大致按“合模→注射→延时→开模→产伸→产退”顺序动作,用单片机最易实现。本次实验通过发光二极管点亮来模拟不同的工序,开关K1模拟启动操作,K2模拟发生故障,音频输出声音模拟报警声。而且开关K2所接的P3.3引脚就是51...

2019-12-19 14:01:13 2659

原创 Proteus仿真之转弯灯实验

本次实验原理相对简单,主要就是通过编程读取P1.0和P1.1引脚的电平状态,然后通过多分支语句判断,再决定4个发光二极管的状态,开关状态与发光二极管状态之间关系如表4.2.1所示。表4.2.1 开关状态与发光二极管状态之间关系 K2(P1.1) K1(P1.0) 发光二极管状态 0 0 ...

2019-12-19 13:59:21 1731

原创 Proteus原理仿真之霓虹灯实验

本次实验原理相对简单,主要就是通过编程控制从P1口输出8位二进制数中“0”的个数和移动(高电平灯灭,低电平灯亮),另外要主要每次数据从P1口输出以后要维持一段时间,即需要软件延时,否则无法看到发光二极管移动效果,且延时时间要超过人眼视觉暂留效应的时间,一般延时数百毫秒。程序清单#include <reg51.h> //包含头文件reg51.h,定义单片机特殊功能寄存器和特殊标...

2019-12-19 13:58:00 3037

原创 键盘监控特点

键盘监控特点(1)一键多用,减少键数,增强功能。(2)闪动的光标提示,指出应做什么操作,操作位置在那里。(3)除复位键以外,大多数键有自动连续功能,持续按键1秒以上,就会产生连续按键的效果,达到快速扫描、检查,简化了操作,节省了时间。(4)省零功能,数字后的0可忽略,减少了按键次数。(5)重键检测功能,几个数字键同时按下,不会使程序丢掉,以防误操作。(6)相对偏移量计算功能...

2019-12-19 13:54:44 464

原创 原理图设计及仿真流程

原理图设计及仿真流程Proteus ISIS软件具有强大的单片机系统设计与仿真功能,使得它可以成为单片机系统开发和改进的手段之一。在“单片机原理”实验的过程中,由于单片机Dais实验系统内部电路已经固定,不能修改,而且只需在电路板上连接少数几根线即可即可完成电路的连接。因而,学生对于内部电路的工作原理并不是很清楚,此时如果将实验电路在Proteus ISIS软件中完成原理图设计并进行仿真,得出...

2019-12-19 13:52:52 5905

原创 C51中的流程控制语句

C51中的流程控制语句C51中的语句与通用C语言基本一致,这里简要介绍常用的流程控制语句,包括分支语句和循环语句两种。下面分别介绍:(1)分支语句C51提供了四种形式的分支语句,分别是if语句、if-else语句、if-else if-else语句和switch-case语句。a、if语句格式:if (条件表达式) 语句功能:当条件表达式的结果为真时,就执行语句,否则就跳过...

2019-12-19 13:47:53 1787

原创 C51中的运算符

C51中的运算符C51中的运算符与通用C语言基本一致,常用的主要是位运算符、算术运算符、关系运算符、逻辑运算符和赋值运算符等,下面分别介绍这些运算符。(1)位运算符汇编语言对位的处理能力是很强的,但是C语言也能对运算对象进行按位操作,从而使C语言也能具有一定的对硬件直接进行操作的能力。位运算符的作用是按位对变量进行运算,但是并不改变参与运算的变量的值。如果要求按位改变变量的值,则要利用...

2019-12-19 13:47:09 9726 1

原创 C51中常用头文件

C51中常用头文件C51中头文件很多,包括reg51.h或reg52.h、absacc.h、math.h、intrins.h、stdio.h、stdlib.h等。但是常用的通常只有reg51.h或reg52.h、absacc.h、math.h这三个头文件。(1)reg51.h或reg52.h这是定义51子系列单片机和52子系列单片机内部特殊功能寄存器和相关可寻址位的头文件。这两个头文件...

2019-12-19 13:46:11 7814

原创 C51扩充数据类型

C51扩充数据类型单片机内部有很多特殊功能寄存器(Special Function Register),每个寄存器在单片机内部都分配有唯一的地址,一般会根据寄存器功能的不同给寄存器赋予不同的名称。当需要在程序中操作这些特殊功能寄存器时,必须在程序的最前面对这些名称加以声明,也就是将这个寄存器的地址编号赋给这个名称,这样编译器在以后的程序中才能找到这些名称所对应的寄存器。跟51单片机特殊功能寄存...

2019-12-19 13:42:58 5792

原创 C51基本数据类型

C51基本数据类型C51中基本数据类型主要是指变量类型。变量是指其值可以改变的量。一个变量实质上是代表了内存中的某个存储单元。程序中的变量a,就是指用a命名的某个存储单元,用户对变量a进行的操作就是对该存储单元进行的操作;给变量a赋值,实质上就是把数据存人该变量所代表的内存单元中。变量有类型的区分,如整形变量、实型变量、字符型变量等。C语言在定义变量的同时说明该变量的类型,系统就能根据定义...

2019-12-19 13:42:36 13893 2

原创 Keil μVision4软件简介

不管是用汇编语言还是C语言编程,都要使用编译器,以便把写好的程序编译为机器码,才能把HEX可执行文件写入单片机内。Keil μVision4是众多单片机应用开发软件中最优秀的软件之一,它支持众多不同公司的MCS-51架构的单片机,甚至ARM,它集编辑、编译、仿真等于一体,它的界面和常用的VC++软件的界面相似,界面友好,易学易用,在调试程序,软件仿真方面也有很强大的功能。因此很多开发51应用的工程...

2019-12-18 15:38:06 17240

原创 GDI基本图形

GDI含义是图形设备接口,主要任务是负责系统与绘图程序之间的信息交换,处理所有Windows程序的图形输出。GDI的出现使程序员无需要关心硬件设备及设备驱动,就可以将应用程序的输出转化为硬件设备上的输出,实现了程序开发者与硬件设备的隔离,大大方便了开发工作。GDI具有如下特点:1. 不允许程序直接访问物理显示硬件,通过称为“设备环境”的抽象接口间接访问显示硬件;2. 程序需要与显示硬件...

2019-12-18 11:46:02 342

原创 天道酬勤系列之创建一个基本的基于Win32 SDK应用程序

创建一个基本的基于Win32 SDK应用程序我们这而已经准备好了一个基本的SDK应用程序,在vc++6.0中新建一个简单的Win32 Application,名为SDKApplication。用如下代码将原来的代码覆盖:#pragma comment(lib,"kernel32.lib")#pragma comment(lib,"user32.lib")#pragma comment...

2019-12-18 11:37:28 414

原创 带你学系列之Windows程序设计:消息循环

消息循环while (GetMessage (&msg, NULL, 0, 0)) // 32:消息循环 { TranslateMessage (&msg) ; //33:消息转换 DispatchMessage (&msg) ; //34:分派消息 }上述代码为...

2019-12-18 11:34:51 278

原创 传递给窗口过程函数的lParam参数指针

创建窗口时,通过lParam参数传递给WM_CREAT消息的CREATESTRUCT结构体参数。CREATESTRUCT结构体定义如下:typedef struct tagCREATESTRUCT {LPVOID lpCreateParams; HINSTANCE hInstance; HMENU hMenu; HWND hwndParent; int ...

2019-12-18 11:33:14 450

原创 技术小咖之Windows设计:定义消息结构体对象

MSG msg ;消息结构体,我们可以通过点鼠标右键来查看MSG的定义。我们在WINUSER.H文件中可以看到MSG结构体的定义,如下:typedef struct tagMSG { HWND hwnd; UINT message; WPARAM wParam; LPARAM lParam...

2019-12-18 11:30:36 491

原创 windows程序设计之定义窗口句柄

HWND hwnd ;什么叫做句柄呢?句柄最原始的定义为typedef void *HANDLE;就是一个指针,在32位windows中占用4个字节,它指向内存的大小由它的类型决定。函数用句柄来传递数据。句柄在winnt.h中是这么定义的:#ifdef STRICTtypedef void *HANDLE; 这就是HANDLE的定义#define ...

2019-12-18 11:27:41 963

原创 Windows程序设计之定义应用程序名变量

定义应用程序名变量此处定义了应用程序的名字。static TCHAR szAppName[] = TEXT ("HelloWin") ;这个名字会在下面两个地方用到。将第7步中定义的窗口类对象的类名lpszClassName设置为szAppName。wndclass.lpszClassName = szAppName ;这个名字在窗口注册时非常重要,windows通过窗口的...

2019-12-18 11:26:07 480

原创 技术小咖之windows应用程序的入口函数

int WINAPI WinMain (HINSTANCE hInstance, HINSTANCE hPrevInstance,PSTR szCmdLine,int iCmdShow) 前面我们已经强调过了,windows图形界面的应用程序的入口函数名必须为WinMain ,而且调用约定必须为_stdcall。因为入口函数是由操作系统来调用的。既然如此,该函数的四个参数也是由操作系统来赋值...

2019-12-15 10:32:39 798

原创 自强不息系列之基于SDK的Windows应用程序框架代码详解

1、必须包含头文件windows.hWINDOWS.H是一个最重要的头文件,它包含了其他Windows头文件,这些头文件的某些也包含了其他头文件。这些头文件中最重要的和最基本的是:WINDEF.H 基本数据类型定义。WINNT.H 支持Unicode的类型定义。WINBASE.H Kernel(内核)函数。WINUSER.H 用户界面函数。WINGDI.H 图形设备接口函数...

2019-12-15 10:27:46 403

原创 技术小咖之基于SDK的第一个windows图形界面程序

第一个SDK应用程序hello world1、利用vc6.0创建第一个SDK的windows图形界面应用程序运行Microsoft Visual C++ 6.0,选择file-->new子菜单,弹出相应窗口。该对话框有四个选项卡:Files、Projects、workspace以及Othere Documents。Files与创建文件有关。比如头文件、源文件。Projec...

2019-12-13 10:48:19 330

原创 芯科技之LM2596介绍

LM2596是降压型电源管理单片集成电路的开关电压调节器,能够输出3A的驱动电流,同时具有很好的线性和负载调节特性。固定输出版本有3.3V、5V、12V,可调版本可以输出小于37V的各种电压。LM2596系列是德州仪器(TI)生产的3A电流输出降压开关型集成稳压芯片,它内含固定频率振荡器(150KHZ)和基准稳压器(1.23v),并具有完善的保护电路、电流限制、热关断电路等。利用该器件只需极少...

2019-12-13 10:17:00 4851 1

原创 带你学之Ruby 日期 & 时间(Date & Time)

Ruby 日期 & 时间(Date & Time)Time 类在 Ruby 中用于表示日期和时间。它是基于操作系统提供的系统日期和时间之上。该类可能无法表示 1970 年之前或者 2038 年之后的日期。本教程将让您熟悉日期和时间的所有重要的概念。创建当前的日期和时间下面是获取当前的日期和时间的简单实例:#!/usr/bin/ruby -w# -*- co...

2019-12-13 10:08:40 534

原创 来自图灵奖得主Bengio的心声:深度学习不会被取代,我想让AI会推理、计划和想象

在1990年代那个漫长而寒冷的AI寒冬期,大多数人认为神经网络是一条研究的死胡同,Yoshua Bengio等研究者却仍坚持不懈地进行着该领域的研究。最终他们的努力开花结果。由于对深度学习做出的巨大贡献,他与Yann Lecun和Geofrey Hinton等人在今年3月获得了图灵奖。如今,AI界关于深度学习缺点的讨论再度掀起浪潮。由于对深度学习发展有不同理解,纽约大学教授Gary Marcu...

2019-12-13 10:01:34 274

原创 天道酬勤系列之普通程序员和顶级程序员的差距在哪里?

相信很多程序员在心中都曾有过这个疑问:普通程序员和顶级程序员的差距在哪里?不少的程序员都应该有读过吴军老师的《数学之美》,这本书不仅获得了国家图书馆的文津图书奖,还收获了上百万的读者的好评。一本数学书为何会收到百万程序员和学生的喜爱呢?吴军老师曾说过说:一个普通工程师和顶级工程师的差距之一,就在数学上。可能很多的人和最初的我一样,认为数学与实际工作偏离太远,只有极少的场景中会用到,但是从程...

2019-12-13 09:54:59 428

原创 芯科技之AD7705介绍

美国模拟器件公司(其在中国注册公司为:亚德诺半导体技术有限公司)生产的模数转换器。AD7705为完整16位、低成本、Σ-Δ型ADC,适合直流和低频交流测量应用。其具有低功耗(3 V时最大值为1 mW)特性,因而可用于环路供电、电池供电或本地供电的应用中。片内可编程增益放大器提供从1至128的增益设置,无需使用外部信号调理硬件便可接受低电平和高电平模拟输入。AD7705 采用SP I Q SP ...

2019-12-04 11:01:23 1553

原创 芯科技之AD7656介绍

AD7656具有最大4 LSBS INL和每通道达250kSPS的采样率,并且在片内包含一个2.5V内部基准电压源和基准缓冲器。该器件仅有典型值160mW的功耗,比最接近的同类双极性输入ADC的功耗降低了60%。AD7656包含一个低噪声、宽带采样保持放大器(T/H),以便处理输入频率高达8MHz的信号。该AD7656还具有高速并行和串行接口,可以与微处理器或数字信号处理器(DSP)连接。AD...

2019-12-04 10:56:44 2761 3

原创 AC620 开发板器件资源介绍

AC620 开发板拥有非常丰富的功能,相关的器件资源也十分可观,下面我来带大家简单了解一下:

2019-12-04 10:51:41 2121

原创 天道酬勤系列之AC620简介

AC620是小梅哥研发的系列产品之一,由于其具有强大的功能而被用户所喜欢!!!AC620 开发板支持两种供电方式,USB 直接供电和外接 5V 直流电源供电。推荐使用外接 5V 直接供电。关于具体使用哪种方式供电,需要根据实际使用的情况进行选择,如果只 是进行一般的 EDA 系统设计,直接使用 USB 供电即可;如果是进行 SOPC 系统设计,或者外 接了有 TFT 显示屏,推荐使用外接 5V...

2019-12-04 10:47:12 4327

原创 带你学之原型模式介绍

原型模式原型模式(Prototype Pattern)是用于创建重复的对象,同时又能保证性能。这种类型的设计模式属于创建型模式,它提供了一种创建对象的最佳方式。这种模式是实现了一个原型接口,该接口用于创建当前对象的克隆。当直接创建对象的代价比较大时,则采用这种模式。例如,一个对象需要在一个高代价的数据库操作之后被创建。我们可以缓存该对象,在下一个请求时返回它的克隆,在需要的时候更新数据库,...

2019-12-04 10:35:46 157

原创 带你学系列之建造者模式介绍

建造者模式建造者模式(Builder Pattern)使用多个简单的对象一步一步构建成一个复杂的对象。这种类型的设计模式属于创建型模式,它提供了一种创建对象的最佳方式。一个 Builder 类会一步一步构造最终的对象。该 Builder 类是独立于其他对象的。介绍意图:将一个复杂的构建与其表示相分离,使得同样的构建过程可以创建不同的表示。主要解决:主要解决在软件系统中,有时候面...

2019-12-04 10:33:49 150

原创 自强不息系列之单例模式介绍

单例模式单例模式(Singleton Pattern)是 Java 中最简单的设计模式之一。这种类型的设计模式属于创建型模式,它提供了一种创建对象的最佳方式。这种模式涉及到一个单一的类,该类负责创建自己的对象,同时确保只有单个对象被创建。这个类提供了一种访问其唯一的对象的方式,可以直接访问,不需要实例化该类的对象。注意:1、单例类只能有一个实例。 2、单例类必须自己创建自己的唯一...

2019-12-04 10:29:50 163

原创 天道酬勤系列之抽象工厂模式介绍

抽象工厂模式抽象工厂模式(Abstract Factory Pattern)是围绕一个超级工厂创建其他工厂。该超级工厂又称为其他工厂的工厂。这种类型的设计模式属于创建型模式,它提供了一种创建对象的最佳方式。在抽象工厂模式中,接口是负责创建一个相关对象的工厂,不需要显式指定它们的类。每个生成的工厂都能按照工厂模式提供对象。介绍意图:提供一个创建一系列相关或相互依赖对象的接口,而无需指...

2019-12-04 10:26:21 180

原创 带你学系列之工厂模式

工厂模式工厂模式(Factory Pattern)是 Java 中最常用的设计模式之一。这种类型的设计模式属于创建型模式,它提供了一种创建对象的最佳方式。在工厂模式中,我们在创建对象时不会对客户端暴露创建逻辑,并且是通过使用一个共同的接口来指向新创建的对象。介绍意图:定义一个创建对象的接口,让其子类自己决定实例化哪一个工厂类,工厂模式使其创建过程延迟到子类进行。主要解决:主要解...

2019-12-04 10:24:44 209

基于MATLAB的微电网模拟系统建模设计-论文可参考.docx

微电网技术可以使分布式能源的电力和微电网负载之间自动平衡。微电网使用的技术都是在传统配电网中的前沿技术。它也是构成智慧供电网的一部分。微电网技术是将分布式电源直接接入电网的一种高效手段,同时也是把分布式供电纳进中低配电网用来解决未来的绿色能源问题的重要途径。正在逐步受到重视,并有着越来越重要的社会和经济意义。 分布式供电的多样性及微电网系统的复杂性和实际条件的限制,使得微电网系统的实测数据很难获取等方面与传统电力系统有较大区别。本文运用的是MATLAB/Simulink平台进行微电网模拟系统的仿真设计,模拟实现微电网系统基本运行功能,并设计了微电网模拟运行的硬件电路结构。主要使用三相逆变电路作为研究参考对象,将输入的直流电转换成三相交流电用来保证更稳定的用电需求,通过对有功及无功控制的对比来判断输出三相电压的波形差异,确保输出电压具备良好的稳定性和可靠性,以供用户使用,进一步提升微电网系统运行的高效平稳。

2024-04-21

基于MATLABSimulink电池管理系统的分析和研究-论文可参考.docx

优良环保的生态环境和清洁可靠的能源逐渐被人们所需要,汽车产业占据着信息化、科技化时代的主流,燃油形式渐渐被新能源形式所取代,并展现出独特的时代性。电动汽车作为新能源汽车行业的主要代表,其主要核心在于内部动力电池,进一步阐明,电池的关键因素在于电池管理系统(Battery Management System,BMS),能够监测电池状态、安全报警、保障电动汽车运行安全。BMS的主要功能包括对电池荷电状态(State of Charge,SOC)的精确估计、电池内部控制系统的均衡管理、热效能管理等方面。其中,电池SOC的精准估算和电池热管理性能研究对提升电池性能品质,增强电动汽车优越性具有显著推动作用。因此,本课题开展研究工作的对象为锂离子电池,重点探讨电池管理系统的SOC估算和热管理控制优化策略,并在MATLAB/Simulink仿真平台完成仿真分析,结果表面,SOC估算精度高、估算误差较小,温度控制策略良好有效,调节时间短,对于电池管理系统的温度控制更为精准可靠,本论文研究为电池SOC管理及温度控制策略奠定参考标准,具有显著突出的实践价值和应用推广价值。

2024-04-21

基于深度学习的图像融合算法研究-论文可参考.doc

图像融合是将同一场景由不同传感器获得的两幅或多幅图像在精确配准后融合为一幅图像的过程。融合后的图像不仅能够保留源图像的所有重要信息,而且比单一传感器所呈现的图像更加清晰,信息更加丰富,更容易被人或机器理解。而深度学习本身所具备的优势使其在图像处理领域具备极大应用价值。本文重点研究基于深度学习的图像融合算法。文中对深度学习和图像融合的理论基础进行详细介绍,并突出强调图像融合的指标;针对红外及可见光图像融合的问题,提出一种新的基于双分支网络的图像融合算法,并通过MATLAB仿真平台比对感知生成对抗网络和基于双分支网络优化原理的融合效果来进行论证,仿真结果表明,基于双分支网络的图像融合算法无论是在互信息,边缘信息保持度,还是熵与平均梯度等方面都更加优越。说明所提算法能够较好的保存图像细节信息与边缘信息,而且携带信息量较为丰富,图像层次较多,图像清晰度也比较高,融合效果良好。本论文研究为深度学习及图像融合优化策略奠定参考标准,具有显著突出的实践价值和应用推广价值

2024-04-21

CS类6自由度机械臂的建模与仿真-论文设计真实可参考.docx

本文以CS类6自由度机械臂为具体研究对象,对机械臂的正、逆运动学,动力学以及轨迹规划方面进行分析与研究。工业机器人的应用越来越广泛。对机器人基础理论的研究,有利于改善操作性能和提高工作效率,而运动学、动力学、工作空间、轨迹规划和控制理论是研究工业机器人技术的基础。 本文研究从6自由度机械臂的研究背景及意义进行展开,然后针对机械臂的国内外研究现状进行阐述,分析其结构变化发展的过程。接着对6自由度机械臂的结构进行建模分析,并对其末端执行器及舵机的控制原理及特性进行分析。其次对于机械臂的运动学进行分析,建立其运动学的数学模型,对其运动学正解和逆解进行分析,然后基于最短行程和最低能耗准则来进行最优逆解分析,并对其正、逆运动学进行仿真分析。最后对机械臂的模型进行轨迹规划研究及仿真分析,结果表明,各个关节的角度与时间关系的曲线变化连续,说明其运动过程连续平滑,机械臂在进行实际作业时,各关节可以平稳地运行,具有重要的研究意义及价值。

2024-04-21

基于MATLAB/simulink的电压互感器仿真模型

基于MATLAB/simulink平台搭建的电压互感器仿真模型,在MATLAB/SIMULINK对不同部件的传递原理和数学模型进行了深入的研究,建立电流、电压互感器控制系统动力学模型,通过仿真结果验证,可以比较各种策略与方案,优化并确定相关参数。最后,对电流、电压互感器控制系统分析方法进行了详细比较分析,为科学决策提供可靠的依据。 以电流、电压互感器控制系统设计应用作为研究背景,运用MATLAB/Simulink仿真工具搭建相应的仿真模型。电流、电压互感器控制系统拥有很好的动态特性,运行稳定性高、测量的范围较大,性能可靠等优势,在实际生产制造中被广泛的应用。该模型利用MATLAB软件中的电力系统模块库,建立了电流、电压互感器在电力系统应用中的系统仿真模型,通过 Matlab/Simulink仿真软件搭建仿真模型,运行仿真,并对电流互感器的饱和特性的仿真结果进行了分析。经过对控制系统进行仿真,可以明显的看出,电流、电压互感器控制系统响应快,没有超调量,运行稳定,抗外界干扰能力强等特点。

2024-04-21

基于Proteus平台的心率计仿真.rar

基于Proteus仿真平台完成了智能手环的心率计仿真分析,在搭建模型时,充分利用Proteus的优点,其能够完成对心率监测的模型搭建。在图表菜单栏中,编辑不同的图表,并且可以随时根据自身的使用情况进行添加,也可以删除、添加相关的图表信息。调试菜单栏可以进行仿真测试,可以相继完成后期的各种仿真操作以及各种添加操作,可以根据不同的发展情况进行仿真模式的选择。在库菜单栏中,可以放置原理图设计所需的元件,可以自定义选择不同的符号或者模块。

2023-05-31

串联三重化全控整流电路Simulink模型

基于MATLAB/Simulink搭建的串联三重化全控整流电路Simulink模型,针对串联三重化的特点来进行搭建的,里面所涉及到的三相电源的相电压为220V,整流变压器输出电压为100V,所搭建的模型可以及时观察整流器在不同负载,不同触发延迟角时,整流电路输出电压、电流波形,还可以测量整流输出电压平均值,模型运行亲测有效,大家可以放心下载使用,有任何问题请及时与我联系,看到消息后会第一时间回复。

2023-05-24

发电机离合器Simulink仿真模型.rar

基于MATLAB/Simulink工具箱搭建的发电机离合器仿真模型,压缩文件内还有一个代码是发电机的相关数据,首先需要运行编写发电机数据的代码,再点击simulink运行按钮,即可查看离合器的输出波形,主要包含扭矩和速度等波形界面,下载后可以根据个人实际情况来修改发电机的相关数据!代码及模型亲测可用,欢迎大家使用及交流!!

2023-05-20

51单片机急救车与交通灯仿真(含源程序).rar

在Proteus仿真平台搭建的交通灯仿真模型,并搭配有源程序,下载后可以直接运行查看仿真结果,交通灯的设计是为了便于交通的顺畅,促进社会的和谐发展。上述交通灯仿真的基本功能如下: 1、具有东西南北四个方向的交通灯指向; 2、每个方向配备有三个LED指示灯,分别为红、绿、黄; 3、LED的红色代表的是禁止通行,LED的绿色代表的是可以通行,而LED的黄色则代表当前为通行的最后阶段,尽量选择下次绿色灯亮起时通过; 4、每次可以针对两个方向进行放行,并且交叉进行; 5、用到的模块有74LS138和74LS273等电子器件; 6、所有的LED灯为共阳极接法,共阳极端接上电压;

2022-04-17

基于51单片机霓虹灯仿真.rar

此为用51单片机搭建的霓虹灯仿真,基于Proteus平台完成开发,其可以实现LED指示灯的闪烁和跳动,在不同的颜色之间进行转换,转换的时间间隔可以通过代码来控制,这也是目前交通信号灯所采用的原理。本仿真主要包含的功能如下: 1、可以实现3中不同模式的灯光变化; 2、可以自行设置灯光闪烁的时间及 相邻LED灯的闪烁间隔; 3、可以通过按键来控制其闪烁的参数; 4、附带有源代码,代码进行了注释,方便大家阅读和学习; 5、霓虹灯是城市的美容师,每当夜幕降临时,华灯初上,五颜六色的霓虹灯就把城市装扮得格外美丽;

2022-04-17

MATLAB编写OFDM仿真源代码

这是自己编写的OFDM与信噪比变化关系的仿真代码,下载后可以直接在MATLAB中运行,查看结果,并可以对比参数的变化对仿真结果带来的影响。 其中,对下述参数和内容进行说明,便于大家理解和学习。 1、子载波间隔:为了保证其间隔大小。我们在实际的应用过程中,通常会按照一定的准则来选取最小的子载波间隔,这样也是为了最大限度的利用信道的频谱资源; 2、OFDM符号周期T:一般来说,符号周期T是越小越好,但是,太小的话会造成数据流会出现严重的差错,那么很多子载波将会出现停止工作,数据信息最终无法传输完成,要合理设置T的值; 3、采样频率和IFFT点数L:系统的采样频率必须满足采样定理。在实际的通信系统中通常会要求L远大于子载波数目N的2倍,这也就是过采样处理的原理,其作用是提高数据处理精度; 4、信噪比降低之后,OFDM的误码率增加了,其只有六个误码值,当完成FFT变换之后,系统就会进行解调和译码处理,然后发送误码个数及误码率进行显示,误码率的性能取决于信噪比与误码率的变化关系;

2022-04-17

3、基于Python的人脸识别系统设计与实现.docx

这是我自己设计的一个人脸识别系统的课题,基于Python语言研发了人脸识别管理系统,并在Pycharm平台完成主要功能模块的分析与设计,在摄像头采集到完整人脸信息的同时,对人员的身份进行认证和管理。本文所设计的人脸识别系统一方面可以实现人员的安全认证功能,还能够给重要场所的人员管理提供安全保障,测试结果表明:该系统能够准确识别人脸信息,并显示当前人员的录入时名字,而没有录入的人脸显示unknown,为有效解决人员管理问题提供了参考。使得人员安全管理系统具备了更高的实用价值,有着巨大市场潜力和应用前景。以下是重要内容阐述: 1、人脸识别部分主要是依靠人脸特征提取来实现; 2、摄像头捕获人脸后,会进行图像预处理,包括噪声处理、光照预处理和几何预处理; 3、采用卷积神经网络为人脸识别算法; 4、基于Python和Pycharm平台来实现系统设计; 5、通过CNN训练发现,能够对人脸进行准确识别,识别率高达97%;

2022-04-17

1、基于51单片机的智能水杯仿真及程序和原理图.rar

该资源是基于51单片机所设计的智能恒温水杯,主要包括的资源有:智能恒温水杯的电路原理图、程序源码、Proteus仿真以及相关的原理图和视频讲解,下面来介绍一下该智能恒温水杯的相关功能: 1、可以利用温度传感器DS18B20来检测温度的变化; 2、可以通过按键电路来修改其水温的高低; 3、通过继电器加热和制冷电路来实现水温的自动控制; 4、温度的变化可以通过LCD显示屏进行实时显示; 5、当超出阈值时会进行蜂鸣器预警,指示灯会进行闪烁;

2022-04-17

用51单片机搭建的温度测量预警系统的proteus仿真

利用DS18B20来测量温度值,并通过程序设计温度的阈值范围,当采集的温度超出阈值时,就会进行蜂鸣器预警。此外,温度的实际值会在LCD进行显示,可以通过按键来修改阈值的大小,以控制温度的变化范围。仿真运行真实有效,可以直接用proteus软件打开运行,有什么不懂的欢迎私信交流!

2022-04-16

HP LaserJet P1007驱动安装包.rar

这是HP LaserJet P1007型号打印机的源驱动程序,亲测有效,下载前请看清打印机的具体型号,有问题欢迎留言

2021-05-26

超级实用的标准毕业答辩PPT模板.rar

自己整理的非常实用的毕业答辩动态PPT模板,非常的实用,下载之后请自行修改论文题目及姓名等信息,祝大家答辩顺利

2021-05-17

精选毕业答辩动态PPT模板.rar

自己整理的9套非常实用的毕业答辩动态PPT模板,非常的实用,下载之后请自行修改论文题目及姓名等信息,祝大家答辩顺利

2021-05-17

基于数据挖掘技术的租房数据分析Python程序代码.txt

是我自己搭建的Python源代码,需要借助网络爬虫来获得租房数据的文件夹,然后就可以利用此代码来完成数据的分析,包括租房价格、房源数量以及户型等

2021-04-25

计算图像能量.docx

这是用于计算图片的能量的matlab源代码,可以直接在matlab中修改文件目录参数进行输出,欢迎大家交流

2020-09-03

直流无刷电机的Maxwell仿真模型.zip

这是直流无刷电机的Maxwell仿真模型,可以直接仿真运行结果,有结果分析文件,欢迎大家下载参考,谢谢大家的支持

2020-05-21

直流无刷电机的Simulink仿真.zip

这是直流无刷电机的Simulink仿真,虽然是代码,但是可以进行仿真结果分析,其中包括电机的转矩以及输出损耗等

2020-05-21

呼吸机的3D CAD 模型.zip

这是呼吸机的3D CAD 模型,基于设计图纸和设计资料的第三个实用资料,对大家非常有指导意义,有需要的小伙伴请放心下载,良心资源,不会坑大家!!!

2020-04-10

美敦力呼吸机PB560设计资料(和上个资源配套).zip

美敦力呼吸机PB560设计资料,和上个资源配套使用,由于上传流量的限制,将其分为三部分进行传输,如果有需要的小伙伴请私信我,希望大家能够理解

2020-04-10

美敦力呼吸机PB560设计实用图纸.zip

这是美敦力呼吸机PB560的设计图纸,应用于工程中非常实用,十分靠谱的资料,请大家下载后好好学习,有什么问题可以私信或者留言交流!!!

2020-04-10

非常实用的AD元件库与封装库.zip

这是非常实用的AD元件库,涵盖所有元件,可以满足原理图的需求,欢迎大家下载学习使用,有什么问题可以私信我交流

2020-04-07

2020年C语言程序设计教程PPT.zip

这是2020年C语言程序设计教程的PPT,为了深入推广编程能力的普及,需要的同学请自行下载后学习!!!

2019-12-26

STM32F767_W25Q256_good.zip

这是STM32F767用于W25Q256的源程序,下载解压后可以直接使用,非常方面,亲测可用,有问题欢迎大家交流!!!

2019-12-06

STM32F103_uCLinux_BOOT.zip

这是基于STM32F103_uCLinux_BOOT资料,下载后可直接使用,如果大家有什么问题的话,欢迎大家提问交流!!!

2019-12-06

TFTLCD V2电容触摸屏模块.zip

这是正点原子的TFTLCD V2电容触摸屏模块资料,里面有原理图说明和源程序,请大家多多参考和学习,欢迎交流!!!

2019-12-06

OV5640摄像头模块.zip

这是正点原子的OV5640摄像头模块资料,里面有原理图说明和源程序,请大家多多参考和学习,欢迎交流!!!

2019-12-06

OV2640摄像头模块.zip

这是正点原子的OV2640摄像头模块资料,里面有原理图说明和源程序,请大家多多参考和学习,欢迎交流!!!

2019-12-06

MPU6050六轴传感器模块.zip

这是正点原子的阿波罗系列的MPU6050六轴传感器模块,里面有原理图说明和源程序,请大家多多参考和学习,相互交流!!!

2019-12-06

ESP8266 WIFI模块资料.zip

这是正点原子的阿波罗系列的ESP8266 WIFI模块资料,里面有原理图说明和源程序,请大家多多参考和学习,相互交流!!!

2019-12-06

MCGS与STM32F7通信.zip

这是MCGS组态屏与STM32F7通信的相关资料,里面包括有MCGS的工程窗口文件以及STM32的源代码,请大家多多学习!!!!!

2019-12-02

正点原子系列之USB-UART模块.zip

这是正点原子系列之USB-UART模块资料,里面包含有模块的开发板原理图以及用于STM32联用的程序源码,可直接下载使用!!!!!

2019-12-02

正点原子系列之 OLED模块.zip

这是正点原子系列之 OLED模块资料,里面包含有模块的开发板原理图以及用于STM32联用的程序源码,可直接下载使用!!!!!

2019-12-02

正点原子系列之VS1053 MP3模块.zip

正点原子系列之VS1053 MP3模块资料,可用于与STM32F7进行联用,里面包含有源码以及模块原理图说明!!!

2019-12-02

正点原子系列之RM04 WIFI模块资料.zip

这是正点原子系列之RM04 WIFI模块资料,可用于与STM32F7进行联用,里面包含有源码以及模块原理图说明

2019-12-02

正点原子系列之SIM900A 模块资料.zip

这是正点原子系列之SIM900A 模块资料,里面包含有SIM900A 模块的原理图及说明,以及与STM32F7系列的程序源码,请大家多多学习!!!

2019-12-02

正点原子系列之TFTLCD电容触摸屏模块.zip

正点原子系列之TFTLCD电容触摸屏模块的资料,里面包括了模块的原理图说明和STM32程序源码,请大家多多学习!!!

2019-12-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除