自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(200)
  • 资源 (1)
  • 收藏
  • 关注

转载 FPGA学习笔记之Altera FPGA使用JIC文件配置固化教程(转)

1,打开希望固化的FPGA设计工程,这里我直接打开按键消抖这节课的工程。2,在quartus ii软件中点击File—>Convert Programming Files,如下图所示:3,在弹出的窗口中,Programming file type 选择JTAG Indirect Configuration File(.jic),Mode选择Active Serial,Config...

2019-12-03 23:39:02 1459

转载 Notepad++编辑器——Verilog、代码片段、直接编译

 Notepad++是一款精致小巧的编辑器,自带Verilog语法识别功能,插件也挺好用的。尤其是利用插件实现代码片段,大大节省我们写Verilog的时间。此外少有人知道的,可以利用某款插件实现在Notepad++界面中编译Verilog的功能。下面就来说说这几个功能要如何设置。  版本:Notepad++ 7.6.6 ,32位//=========================...

2019-12-02 23:17:56 1819

原创 altera中pll模块高电平复位

故PLL的复位信号为高电平有效

2019-12-01 00:24:19 1132

原创 Modelsim设置数据用模拟波形显示

选中希望以模拟波形显示的信号,右击选择format—>Analog(automatic)

2019-10-28 23:23:18 2092

转载 点击Vivado的安装程序exe无法安装的解决办法

在Windows操作系统上,在安装Vivado的时候会遇到双击xsetup.exe没有反应的情况,即使是用管理员权限再加上设置兼容模式也没有任何效果,且此问题有可能在多个版本上都存在,包括最新的2016.02。打开解压后的Vivado安装包的bin目录下,可以看到xsetup.exe本质上是调用xsetup.bat (个别版本是xsetup2.bat)这个批处理文件。接下来我们可以尝试用管理员...

2019-09-11 23:14:44 3594

转载 FPGA IOB

IOB寄存器跟通信有关的设计中多会用到,今天查了相关资料,总结如下:首先了解一下fpga的芯片内部结构:一个fpga主要是由可编程输入输出单元(图中的IOB模块),可编程逻辑单元(CLB模块),块RAM(图中的BRAM,也属于内嵌硬件),数字时钟管理(DCM,也属于内嵌硬件),还有一些内嵌的专用的硬件模块(DSP),IOB寄存器就在图中的IOB模块中。IOB 的内部结构如下:...

2019-08-22 23:45:40 4512 2

转载 JESD204B概述

一、JESD204B概述1、JED204B是什么?一种新型的基于高速SERDES的ADC/DAC数据传输接口。ADC/DAC的采样速率变得越来越高,数据的吞吐量越来越大,对于500MSPS以上的ADC/DAC,动辄就是几十个G的数据吞吐率,采用传统的CMOS和LVDS已经很难满足设计要求,JESD204B应运而生。现在各大厂商的高速ADC/DAC上基本都采用了这种接口。2、JESD20...

2019-05-17 23:22:06 885 1

转载 单口RAM、双口RAM、FIFO三者的关系

单口与双口单口与双口的区别在于,单口只有一组数据线与地址线,因此读写不能同时进行;而双口有两组数据线与地址线,读写可同时进行;FIFO读写可同时进行,可以看作是双口;简单双口RAM与真双口RAM双口RAM分伪双口RAM(Xilinx称为Simple two-dual RAM)与双口RAM(Xilinx称为true two-dual RAM),伪双口RAM,一个端口只读,另一个端...

2019-05-16 23:25:29 1595 1

转载 Quartus prime 16.0 中通过JTAG固化

下载项目sof文件到开发板中,掉电后会消失;由于开发板有JTAG口,则可以用JTAG固化jic文件到EPCS16芯片中。流程1.打开quartus软件并打开convert programming files:如图示:2.首先更改文件类型,这里选择jic文件类型,配置器件选择EPCS16(根据自己板子上是啥选择),再更改输出文件名字:3.点击flash loader,...

2019-04-28 21:13:04 496

转载 VHDL TestBench 测试终止时自动结束仿真——assert方法

VHDL TestBench 测试终止时自动结束仿真——assert方法可在结束仿真位置添加如下代码:assert falsereport "Simulation is finished!"severity Failure;则在Modelsim run -all下自动终止并打印"Simulation is finished!"。...

2019-04-28 20:56:27 2676

转载 Verilog运算符优先级

如图:

2019-04-13 16:53:05 28028

转载 FPGA功耗那些事儿(转载)

FPGA功耗那些事儿(转载) 在项目设计初期,基于硬件电源模块的设计考虑,对FPGA设计中的功耗估计是必不可少的。笔者经历过一个项目,整个系统的功耗达到了100w,而单片FPGA的功耗估计得到为20w左右,有点过高了,功耗过高则会造成发热量增大,温度高最常见的问题就是系统重启,另外对FPGA内部的时序也不利,导致可靠性下降。其它硬件电路的功耗是固定的,只有FPGA的功耗有优化的余地,因此硬件...

2019-04-02 14:18:02 713

转载 FPGA问题

异步FIFO中为什么使用格雷码做设计?项目中最难的地方在哪儿,怎么解决的?功耗怎么控制,FPGA的功耗主要出自哪儿?详细解释在实际工作中遇到的时序问题,并说出解决办法...

2019-04-01 11:22:17 257

转载 verilog参数例化

verilog参数例化1、参数定义parametermodule ram_1r1w#(parameter width=128,parameter deepth=32)(input wclk,input [width-1:0] wdin,.....................................input ...

2019-03-23 22:37:56 13595

转载 FPGA工程师面试试题集锦

FPGA工程师面试试题集锦1、同步电路和异步电路的区别是什么?(仕兰微电子)2、什么是同步逻辑和异步逻辑?(汉王笔试)同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门。同时...

2019-03-22 10:33:29 6700 1

原创 FPGA实现任意分频

module odd_div( clk, rst_n, clkout);input wire clk;input wire rst_n;output reg clkout;parameter HIGH_WIDITH=3;parameter LOW_WIDITH=2;parameter N=3;reg [N-1:0]cnt;reg state;always...

2019-03-15 14:58:37 3837

原创 C语言中使用指针修改const的值

#include <stdio.h>int main(){ const int a=10; //若const a=10,编译器也会默认为a是int类型的 int *P=(int*)&a; //注意需要强制&a前需要加int*类型强制类型转换 *P=12; printf("a=%d\n",a); return 0;}结果:a=12...

2019-03-15 00:14:51 2598 6

原创 FPGA偶数分频

module even_div( clk, rst_n, clkout);input wire clk;input wire rst_n;output reg clkout;parameter N=4;parameter WIDITH=3;reg [WIDITH-1:0]cnt;always@(posedge clk or negedge rst_n)beg...

2019-03-14 16:49:57 624

转载 modelsim**# (vish-4014) No objects found matching '/ip_fifo_tb/u1_ip_fifo/*'.

添加波形时出现这个错误,解决方法:点击simulate->star simulation->把Enable Optimization前面的勾取消->选择仿真文件

2019-03-14 16:47:22 1248

转载 FPGA LVDS

最近项目需要用到差分信号传输,于是看了一下FPGA上差分信号的使用。Xilinx FPGA中,主要通过原语实现差分信号的收发:OBUFDS(差分输出BUF),IBUFDS(差分输入BUF)。注意在分配引脚时,只需要分配SIGNAL_P的引脚,SIGNAL_N会自动连接到相应差分对引脚上;若没有使用差分信号原语,则在引脚电平上没有LVDS的选项(IO Planning PlanAhead)。...

2019-03-13 14:38:51 11872 3

转载 Verilog语法中parameter与localparam的区别

注:parameter可用作在顶层模块中例化底层模块时传递参数的接口,localparam的作用域仅仅限于当前module,不能作为参数传递的接口。`timescale 1ns/100psmodule mem ( clka, wea, addra, dina, clkb, addrb, doutb); parameter DATA_WIDT...

2019-03-13 13:59:45 997

转载 行消隐(HBlank),场消隐(VBlank)

在将光信号转换为电信号的扫描过程中,扫描总是从图像的左上角开始,水平向前行进,同时扫描点也以较慢的速率向下移动。当扫描点到达图像右侧边缘时,扫描点快速返回左侧,重新开始在第1行的起点下面进行第2行扫描,行与行之间的返回过程称为水平消隐。一幅完整的图像扫描信号,由水平消隐间隔分开的行信号序列构成,称为一帧。扫描点扫描完一帧后,要从图像的右下角返回到图像的左上角,开始新一帧的扫描,这一时间间隔,叫做垂...

2019-03-13 10:46:30 4250

转载 MII、RMII、GMII接口的详细介绍

MII (Media Independent Interface(介质无关接口)或称为媒体独立接口,它是IEEE-802.3定义的以太网行业标准。它包括一个数据接口和一个MAC和PHY之间的管理接口。 数据接口包括分别用于发送器和接收器的两条独立信道,每条信道都有自己的数据、时钟和控制信号。MII数据接口总共需要16个信号。 管理接口是个双信号接口:一个是时钟信号,另一个是数据...

2019-03-12 11:25:26 7206

转载 TCP和UDP端口号使用

https://en.wikipedia.org/wiki/List_of_TCP_and_UDP_port_numbersThis is an incomplete list of notable ports. See the Service Name and Transport Protocol Port Number Registry of IANA for the complet...

2019-03-12 11:18:54 33659

转载 FPGA千兆网UDP协议实现

接着上一篇百兆网接口的设计与使用,我们接着来进行FPGA百兆网UDP(User Datagram Protocol)协议的设计。1)UDP简介 在此,参考博主夜雨翛然的博文“https://www.cnblogs.com/HPAHPA/p/7737531.html”关于UDP协议的简介:“UDP传输与IP传输非常类似。你可以将UDP协议看作IP协议暴露在传输层的一个接口。UDP协议同样...

2019-03-12 11:06:14 4716 5

转载 FPGA实现UDP

注:很多基础内容并本文不做讲解,重点讲解fpga实现时需要注意的地方,没有用IP。[就这样]一。 实现的意义分析等。  用fpga实现udp可以用来传消息、图片等,udp本身作为一种不可靠的传输,一般也就传图像什么的啦,暂时只讲发送方向,也就是fpga向pc(或者其他。。)传输,学会了发送方向,接收就可以自己搞定啦。二。效果展示(1) 消息传输    通过wireshark...

2019-03-12 10:37:41 8573 3

原创 ./ 当前目录 ../ 父级目录 / 根目录

./当前目录../ 父级目录/ 根目录

2019-03-12 09:54:47 3000

原创 FPGA从零开始到大神怎么学

原创: 刘勇材玩儿转FPGA 微信公总号1选好一本比较全,排版比较合理的verilog书 verilog作为现在最流行的FPGA开发语言,当然是入门基础,在这里小编给大家推荐一本多年来珍藏的两本书,一本是verilog2001的国际标准,还有一本是某高手写的非常全面的语法讲解书,下面是百度网盘链接,全免费的:链接:https://pan.baidu.com/s/1tst...

2019-03-11 17:54:30 643

原创 STRUCT_OFFSET( s, m )宏

#define STRUCT_OFFSET( s, m ) ( (UINT8)( & ((s *)0) -> m ) ) /* 定义获取结构成员相对偏移地址的宏 */如上宏的意义,获取结构体S中元素M,相对于首元素的偏移量。#include <stdio.h>#define STRUCT_OFFSET(s,m) ( (int)(&...

2019-03-11 15:52:04 717

转载 三目运算符在C和C++编译器中的不同

#include <iostream>using namespace std; //在C语言中 表达式的结果 放在什么地方 寄存器//1 // 在C语言中, 表达式的返回值 是变量的值// 在C++中, 表达式返回的是变量的本身 //2 如何做到的//让表达式返回一个内存空间 ..内存首地址 指针//在C语言中 如何 实现 c++的效果 //3 本质 ...

2019-03-11 10:31:21 164

转载 指针常量和常量指针

指针常量指针常量:顾名思义它就是一个常量,但是是指针修饰的。格式为:int * const p //指针常量在这个例子下定义以下代码:int a,b;int * const p=&a //指针常量//那么分为一下两种操作*p=9;//操作成功p=&b;//操作错误因为声明了指针常量,说明指针变量不允许修改。如同次指针指向一个地址该地址不能被修改,但是...

2019-03-09 00:11:57 96

转载 STM32中的断言

#ifdef USE_FULL_ASSERT/** * @brief The assert_param macro is used for function's parameters check. * @param expr: If expr is false, it calls assert_failed function which reports * ...

2019-03-08 18:31:49 364

原创 C语言 枚举enum、宏定义#define、结构体struct的一种联合应用

#include <stdio.h>typedef enum ENUMDATE{ first=0x01, second=0x02, third=0x03}enumdate;//宏跨行,需要用续行符#define DATEDE \ { \ first, \ second, \ third \} ...

2019-03-08 17:48:13 503

原创 C++中枚举类型enum,结构体struct实例

#include <iostream>using namespace std;typedef enum T{ A, //默认第一个参数为0 B=8,//第一个参数之间与第二个参数之间用逗号隔开 C //最后一个不加逗号,指定的数下一个参数加1,即本列中C的值为9}GG; //加分号struct H{ int year; char name[10];...

2019-03-08 17:06:33 1139

原创 C++系统学习网站

http://www.runoob.com/cplusplus/cpp-tutorial.html

2019-03-08 15:50:36 222

原创 C/C++中#define宏定义的作用域

#include <iostream>using namespace std;void t(void){ #define a 10}int main(){ cout << a<<endl; return 0;}如上代码编译,打印输出结果为10。由此可见#define的作用域是文件作用域,在定义之后的位置使用都有效。改变其...

2019-03-08 15:47:53 2628

转载 C++中define与const的区别

C++中不但可以用define定义常量还可以用const定义常量,它们的区别如下:用#define MAX 255定义的常量是没有类型的,所给出的是一个立即数,编译器只是把所定义的常量值与所定义的常量的名字联系起来,define所定义的宏变量在预处理的时候进行替换,在程序中使用到该常量的地方都要进行拷贝替换;用const float MAX = 255; 定义的常量有类型名字,存放在内存的...

2019-03-08 09:23:16 928

转载 如何确定直流电机驱动的 PWM 频率

如何确定直流电机驱动的 PWM 频率——圆梦小车改进中的个人感悟在“圆梦小车强身健体啦”一文中,提到了新的电机在原来的驱动程序下不能正常工作之事,本文将详述其中原委。一、问题的来由此问题在我第一次涉及直流电机驱动时就遇到了,可翻遍所有资料,都没有给我一个完美的答复,因为我一直觉得应该根据电机的特性来选择 PWM 的频率。直流小电机的特性差别很大,一般遥控玩具的电机通常为 1A 以下,这是为了降...

2019-03-07 18:10:00 23759 1

转载 【蓝桥杯单片机07】彻底理解51单片机的中断系统

【蓝桥杯单片机07】彻底理解51单片机的中断系统广东职业技术学院 欧浩源 在接下来的教程中,将会介绍定时器、串口通信等外设的基本使用,而这些外设的使用都要涉及中断,而且中断是单片机或嵌入式开发中一个相当重要的概念。不能掌握中断系统,就无法灵活应用这些外设。1、什么是中断? 你正在追电视剧《神雕侠侣》,正看得入迷的时候,电话响了,你暂停电视剧,去接电话,在接电话的过程中,门铃又...

2019-03-07 17:53:40 490

转载 C++对C语言的扩展(二)

(一)C++中的const1)C语言与C++中的const 比较:1° C语言中const变量是只读变量,有自己的存储空间2° C++中的const常量可能分配存储空间,也可能不分配存储空间 C语言中的const#includeint main(){ // C语言中 const修饰的变量是一个 常变量,本质还是变量,有自己的地址空间 const int...

2019-03-06 10:29:08 144

Proteus PCB

如何用Proteus制作PCB,效果不错相当给力

2014-06-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除