自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(14)
  • 资源 (24)
  • 收藏
  • 关注

原创 verilog/system verilog语法

1,system verilog 的关键字logic是数据类型,不是变量类型,表示该信号有四态值,0,1,x,z。logic单独使用时,暗示这是一个变量,两个关键字组合声明var logic,或者wire logic。

2020-07-14 17:43:54 1832

原创 读数据保持

always@(posedge clk or negedge rst_b) if(!rst_b) ram_ren_d1 <= 1'b0; else ram_ren_d1 <= ram_ren;always@(posedge clk or negedge rst_b) if(!rst_b) ram_dout_d1 <= {(WIDTH){1'B0}}; else(ram_ren_d1) ram_dout_d1 <= ram_dout;assign rda.

2020-07-13 11:22:43 233

原创 FPGA之ram,fifo

1,fifo分同步和异步,同步fifo读写使用同一个clk,异步读写各自有clk,异步的读写指针不同时钟,因此需要跨时钟操作,两步完成,一个是格雷码,一个多个D触发器读取指针,具体的见收藏的异步FIFO实现的博客2,RAM分单口RAM,假双口RAM,真双口RAM,单口RAM读和写一个时钟内只能进行其中一个操作有一个全局使能en和写使能wren,那么读使能rden<=en&(!wren),假双口RAM有一个时钟clk以及读使能rden和写使能wren,真双口RAM有两套时钟,两套读和写端口,

2020-07-13 10:24:10 999

原创 芯片中RAM如何设计

2020-070-06工作日志中国人真多,地铁人多排队,公交车多路堵。 GPON组网原理基本是OLT通过ODN对应多个ONU,GPON的成帧和解帧原理,分成OLT和ONU封装和解码,过程相似。具体可以查看GPON的GTC成帧,G987.3的3-4页里面的6-1和6-2两图。 Verilog和system verilog需要进一步加强,GVIM,SVN,Linux,等要熟悉 学习了ram和fifo代码,ram主要有RTL级别,用逻辑单元生成,适合小ram情况,大ram可以用仿真库提供的标准,在芯片生

2020-07-13 09:06:07 1464

原创 VHDL CY7C68013A

CY7C68013A是Cypress公司FX2LP系列的一款USB2.0控制器,该款芯片以8051内核(是不是想到了STC 51单片机了)为核心,配合USB硬件实现,再加上高速的对外并行接口GPIF(SlaveFIFO),简直是FPGA与PC之间的高速公路,这也正是我选择这款芯片的原因。具体原理图就不贴了,FPGA+CY7C68013ALIBRARY ieee;USE ieee.std...

2020-01-14 10:35:13 383

原创 数据采集电路--硬件调试

最近调试数据采集电路出现的一些问题汇总1,首先要明确数据采集的信号范围,4-20mA2,那么要给到CPU就需要进行模数转换,那么就需要确认ADC,ADC选型几个要点分别是精度(位数),采样速度,转换速度,采样通道,采样电压范围,基准电压3,第二条确认采用MAX1168,那么就是I/V转换电路,滤波电路,基准采用的是内部基准电压4.096V,4-20mA转换成0-4V以内电压,如果采用的...

2020-01-14 10:34:52 538

原创 matlab的一些记录1

下面代码是批量处理csv文件,读取里面的数据并做相关成图获取文件路径 匹配文件名 读取文件中的某列数据中的某行到某行 多个数据成图在一起 生成变量,便于使用Curve Fitting工具,观察其线性相关程度数据说明首先是一系列CSV文件,是阻抗分析仪测量传感器Cs-Rs模型的数据,当然可以通过公式转换成相位幅值,如下图所示然后是csv文件下面代码分别是main_Rel...

2020-01-14 10:34:37 341

原创 python批量处理TXT之后的数据进行成图

紧接着上一篇,批量处理完的txt文本后的数据,填充到Excel表中,下面是处理步骤读取Excel表格 把数据转换成numpy的矩阵 提取需要的数据填充到准备好的矩阵中 循环显示图片,总共有205+140张图片 第一行图是一个板卡的某个电容在28个通道之间测试的值发现2做激励通道时候值比其他通道要大0.001左右。 第二行图真实想法是横坐标是21-1430fF的真是电容值,纵坐标是测量...

2020-01-14 09:34:56 453

原创 python批量处理txt文件

背景,用了5个板卡测试了11个电容,每个板卡都有28个通道,得到测量值和真是电容值进行线性拟合,观察这5个板卡的一致性如何,首先是同事进行测量,得到的数据保存在TXT文件中,因此整个文件及文件夹的结构如下图所示,首先是板卡的序列号命名的文件夹,下面有11个电容值命名的文件夹,再往下是CH1-CH2....CH7-CH8等28个通道组合的文件夹,每个文件家下面有一个txt文件,内部保存了1分钟左右的...

2020-01-10 11:31:02 2928

原创 Python实践,机器学习5

数据转换本次只讲数据预处理,调整数据尺度,正态化数据,标准化数据,而至数据数据尺度调整,可以做数据归一化处理,主要是sklearn 的MinMaxScaler类from pandas import read_csvfrom numpy import set_printoptionsfrom sklearn.preprocessing import MinMaxScalerfilenam...

2018-12-20 21:40:01 202

原创 Python实践,机器学习4

数据可视化数据可视化是最快最有效的方式理解数据主要是是直方图,密度图,箱线图代码非常简单,前面都是导入类库,读取文件,后面就是数据可视化方式选择,最后是显示from pandas import read_csvfrom numpy import set_printoptionsfrom sklearn.preprocessing import MinMaxScalerfilename...

2018-12-20 21:30:18 207

原创 python实践,机器学习3

读取文件读取文件操作,并读取相关数据的属性主要的操作代码filename = 'D:\data\pima-indians-diabetes.csv'from pandas import read_csvnames=['preg','plas','pres','skin','test','mass','pedi','age','class']data=read_csv(filename,...

2018-12-19 20:39:00 134

原创 python实践,机器学习2

机器学习2读取文件from pandas import read_csvdf = read_csv('D:\\project\\AI\\python\\1216\\iris.data')print('数据维度: 行 %s,列 %s' % df.shape)print(df.head(10))print(df.describe())前两段代码就是读取文件,注意斜杠,左斜杠需要两个,右...

2018-12-18 16:22:38 163

原创 python实践,机器学习 1

1,安装python,先选好操作系统,然后下载python,在https://www.python.org/ ,目前选用的windows 版本python 3.6.1,第三方库,用pip 安装即可,也可以在https://www.lfd.uci.edu/~gohlke/pythonlibs/  下载windows版本的第三方库,然后放到某个目录下,用命令行pip install *就好 2,装...

2018-12-17 20:34:38 117

pulp riscv soc 核代码systemVerilog 语音描述

pulp riscv soc 核代码systemVerilog 语音描述,包含dpram,sram模型,可以直接仿真,四级流水,还有外围器件,并行资源

2023-07-06

pulp RTL代码,riscv核,四级流水,32位SOC

rtl代码,systemVerilog语音,PULP,riscv核,四级流水,有说明书,有testbench,可以仿真

2023-07-06

riscv核RTL代码PULP的RISCV核代码

rtl代码,SOC内核,学习使用,请勿商用

2023-07-06

逻辑分析仪软件工具,抓波形使用

逻辑分析仪软件

2023-07-06

红芯电子xilinx的FPGA系列资料4

这是红芯电子xilinx开发板全套资料的第四部分,一共有四部分,还有视频资料,视频资料太大就不上传,有需要的可以私信我

2018-12-12

红芯电子xilinx的FPGA系列资料3

这是红芯电子xilinx开发板全套资料的第三部分,是modelsim仿真代码,一共有四部分,还有视频资料,视频资料太大就不上传,有需要的可以私信我

2018-12-12

红芯电子xilinx的FPGA系列资料2

这是红芯电子xilinx开发板全套资料的第二部分,一共有四部分,还有视频资料,视频资料太大就不上传,有需要的可以私信我

2018-12-12

红芯电子xilinx的FPGA系列资料1

这是红芯电子出品的xilinx开发板全套资料第一部分,一共有四部分,还有视频资料,资料太大没法上传,有需要的可以私信联系我

2018-12-12

红芯电子altera的FPGA系列资料6

这是红芯电子的altera开发板资料,这是系列资料的第六部分,也是最后一部分,后续没有了,视频教程太大就不上传,有需要的朋友可以私信我

2018-12-12

红芯电子altera的FPGA系列资料5

这是红芯电子的altera开发板资料,这是系列资料的第五部分,后续还有原理图,verilog代码,modsim代码和nios II代码,视频教程太大就不上传,有需要的朋友可以私信我

2018-12-12

红芯电子altera的FPGA系列资料4

这是红芯电子的altera开发板资料,这是系列资料的第四部分,后续还有原理图,verilog代码,modsim代码和nios II代码,视频教程太大就不上传,有需要的朋友可以私信我

2018-12-12

红芯电子altera的FPGA系列资料3

这是红芯电子的altera开发板资料,这是系列资料的第三部分,后续还有原理图,verilog代码,modsim代码和nios II代码,视频教程太大就不上传,有需要的朋友可以私信我

2018-12-12

红芯电子altera的FPGA系列资料2

这是红芯电子的altera开发板资料,这是系列资料的第二部分,后续还有原理图,verilog代码,modsim代码和nios II代码,视频教程太大就不上传,有需要的朋友可以私信我

2018-12-12

红芯电子altera的FPGA系列资料1

这是红芯电子的altera开发板资料,这是系列资料的第一部分,后续还有原理图,verilog代码,modsim代码和nios II代码,视频教程太大就不上传,有需要的朋友可以私信我

2018-12-12

飞凌嵌入式OK6410资料

这是飞凌嵌入式OK6410的一些列资源,这是第一部分,原理图和PCB封装等文件

2018-12-12

黑金zynq-7010的驱动文件

这是黑金的zynq-7010/7020的驱动,请配合之前的资源,这是一些列完整的资源,有什么问题请私信我

2018-12-12

黑金zynq-7010的vivado工程文件3

这是黑金的zynq-7010/7020的vivado工程源文件3,一共三部分,这是第三部分,请参考前面的资源,这是一些列资源文件

2018-12-12

黑金zynq-7010的vivado工程文件2

这是黑金的zynq-7010/7020的vivado工程源文件2,一共三部分,这是第二部分

2018-12-12

黑金zynq-7010的vivado工程文件

黑金zynq-7010/7020的vivado工程文件一共三部分,这是第一部分,文件有点大,所以分开压缩上传

2018-12-12

黑金zynq_7010学习教程,配合之前的原理图一起看

主要是黑金设计的ZYNQ-7010的学习教程,适合初学者,请配合之前的资源,原理图学习

2018-12-04

黑金zynq_7010硬件原理图库等资料PDF

黑金的zynq-7010的原理图,altium 的PCB库,allegro库,资源太多,是一系列,请关注请他下载资源

2018-12-04

黑金FPGA 相关芯片介绍

黑金FPGA,相关芯片介绍,各种使用到的芯片的datasheet

2013-06-14

黑金FPGA demo verilog代码

黑金FPGA demo verilog代码,是综合代码,值得学习

2013-06-14

黑金FPGA开发板verilog代码

黑金FPGA开发板有verilog代码,讲解关于时钟和信号的代码

2013-06-14

黑金FPGA开发板verilog例程代码

黑金FPGA开发板verilog例程代码,是关于FPGA的时钟和信号的讲解

2013-06-13

黑金FPGA开发板例程代码2

黑金FPGA开发板例程代码2。关于时序的代码和讲解。

2013-06-13

黑金FPGA开发板例程代码

黑金FPGA开发板verilog例程代码,还有文档讲解

2013-06-13

黑金FPGA控制TFT液晶屏显示代码

黑金FPGA控制TFT液晶屏显示的verilog代码,内附有原理图,芯片资料

2013-06-13

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除