自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

李家小院

建设自己的小院子,种棵树,让它开枝散叶

  • 博客(102)
  • 资源 (17)
  • 收藏
  • 关注

原创 通过Cursor 工具使用GPT-4的方法

本文介绍了通过Cursor 工具使用GPT-4的方法。

2023-03-18 17:29:08 25794 55

原创 XFI和SFI的差异

本文通过研究XFI和SFI协议,得出如下结论:XFI和SFI理论上可以直接互连,但最好通过retimer或者repeater实现XFI和SFI的互连,本文也阐述了XFI和SFI的相同点和不同点。

2023-03-04 23:44:42 3584 12

原创 SGMII和Serdes的差异

本文对比了SGMII和SerDes两个接口之间的差异

2023-02-28 23:24:22 1525

原创 CPRI和10GBASE-KR的关系

当CPRI支持背板10G互连时,意味着:1)CPRI支持10GBASE-KR。2)CPRI的电气特性和10GBASE-KR一样,10GBASE-KR实际是指出了所用的介质(背板),也唯一地确定了CPRI的电气特性。3)CPRI借用了10GBASE-KR的分层结构,但PCS和FEC的实现上与10GBASE-KR有差异,因此CPRI实际是指CPRI协议。

2023-02-26 23:45:19 1447

原创 对SGMII接口的认识

SGMII为了便于串行化,拆分了PCS,将原来802.3z规范中MAC+PCS+PMA+PMD的形式改为了MAC+PCS ++PCS+PMA+PMD

2023-02-25 23:34:28 3774

原创 信号完整性设计规则之串扰最小化

​本文内容从《信号完整性与电源完整性分析》整理而来,加入了自己的理解,形成了本文:信号完整性设计规则之串扰最小化

2023-02-19 16:58:54 1097

原创 信号完整性设计规则之单根信号失真最小化

本文内容从《信号完整性与电源完整性分析》整理而来,加入了自己的理解。

2023-02-17 21:59:09 1164

原创 DCDC Buck-Boost拓扑的大电流路径

本文介绍了非同步Buck-Boost和同步Buck-Boost拓扑下的大电流路径。

2023-02-12 23:34:49 889

原创 DCDC Boost拓扑的大电流路径

本文介绍了非同步Boost和同步Boost拓扑下的大电流路径。

2023-02-12 16:06:47 860

原创 近端串扰NEXT和远端串扰FEXT的ADS仿真

本文记录近阶段对近端串扰NEXT和远端串扰NEXT概念的理解。

2023-01-14 15:17:42 2728

原创 ADS振铃仿真

本文介绍了微带线线宽变化时100MHz信号的反射现象。

2023-01-12 17:05:40 877 2

原创 DCDC Buck拓扑的大电流路径

本文介绍了非同步Buck和同步Buck拓扑下的大电流路径。

2023-01-10 18:12:08 380

原创 Vivado综合设置之-no_lc

本文详细讨论了当勾选或者不勾选-no_lc时的差异,也详细介绍了using O5 and O6以及using O6 output only的具体含义。

2023-01-09 15:58:20 1246 1

原创 Zynq PL DDR4读写测试工程

基于XCZU21DR-2FFVD1156E开发,本文介绍对PLDDR4的读写操作,代码全部经过上板测试。

2023-01-09 13:41:26 1964

原创 Vivado综合属性之SHREG_EXTRACT

本文给出了当SHREG_EXTRACT设置为yes和no时,Schematic的差异,也验证了如下结论:当为yes时,等效于SRL_STYLE设置为reg_srl_reg;当为no时,等效于SRL_STYLE设置为register。

2023-01-09 11:35:17 1107

原创 Vivado综合属性之SRL_STYLE

本文介绍综合属性SRL_STYLE取register、srl、srl_reg、reg_srl、reg_srl_reg和block中的值时,对Schematic的影响。SRL_STYLE用于指导Vivado将SRL(移位寄存器)映射为何种形式。

2023-01-09 11:04:35 1497

原创 Vivado综合属性之MAX_FANOUT

本文介绍了综合属性MAX_FANOUT对Schematic的影响,通过本文可以理解通过寄存器复制的方式可以降低扇出。

2023-01-08 21:14:16 3101 3

原创 Vivado综合属性之ASYNC_REG

本文验证了综合属性ASYNC_REG对寄存器位置的影响。

2023-01-08 20:10:09 1197

原创 Vivado综合设置之-gated_clock_conversion

本文验证-gated_clock_conversion设置为on或off时,给Schematic带来的差异。

2023-01-08 17:31:13 1966

原创 确认EMIO引脚编号的方法

本文介绍了确认EMIO引脚编号的方法

2023-01-07 15:23:15 705

原创 确认Xilinx SDK中print函数使用UART串口号的方法

本文介绍了确认Xilinx SDK中print函数使用UART串口号的方法

2023-01-07 15:08:46 510

原创 Zynq PS之UART调试

以XCZU21DR开发,通过MIO UART循环打印Hello World。

2023-01-07 14:59:54 1523 2

原创 Zynq PS之MIO、EMIO调试

本文用于点亮PS MIO52和PL L15接的LED灯。

2023-01-07 14:41:17 1339

原创 Zynq PL端调用PS端的时钟

本文的目的:在XCZU21DR环境下,PS给PL提供一个100MHz的时钟,PL端根据此时钟产生1S信号,点亮LED。

2023-01-07 12:47:56 3862

原创 Vivado综合设置之-keep_equivalent_registers

keep_equivalent_registers即保留等效寄存器,所谓等效寄存器是指共享输入端口(输入时钟端口clk和输入数据端口rst)的寄存器。勾选它时,意味着Vivado不会对等效寄存器进行优化;不勾选它时(默认情况),意味着Vivado会合并等效寄存器。

2023-01-07 12:29:38 1091

原创 Vivado综合设置之-resource_sharing

​resource_sharing用于对算数运算(加法、减法和乘法)实现资源共享,以节约LUT资源,有3个值:auto、off和on,默认是auto。默认情况下,将resource_sharing设置为auto即可。本文验证-resource_sharing设置为on和off时对加减法、乘法运算的LUT资源占用的影响。

2023-01-07 12:06:37 865

原创 对PTP 1588新的认识

对1588的研究持续了一段时间,总有不太确定的地方,现在进行个阶段性总结,也包含了个人的思考,可能还有认识不到位的地方,请这方面的专家能提点意见。图1Model of an ordinary clock,来自于IEC 61588-2009网络测量和控制系统的精密时钟同步协议标准.pdf仅介绍在SLAVE模式下PTP同步过程:分为两个阶段,时钟同步和时间同步。step 1. master每0.1S发1个SYNC包,Slave通过更新上图Time的值来调整供给自己PHY(上图下方紫框)的时钟..

2021-03-22 23:36:46 2696 1

原创 IO口推挽输出仿真

仿真使用TINA-TI实现,下图上方T1为P-FET,下图下方T2为N-FET。图1. 推挽输出高电平仿真结果上图可以看出在VF1侧3V通过2个1K电阻分压后能得到1.5V,这体现了推挽结构的强输出能力。图2. 推挽输出低电平仿真结果...

2021-03-12 21:43:41 426

原创 MATLAB仿真-抽取滤波

在ADC的硬件设计中,都需要在模拟输入端加一个低通滤波器,称为抗混叠滤波器,抗混叠滤波器用于限制最高输入频率,如果需要降低采样率,则需要在代码中实现抽取操作,在抽取前也需要抽取滤波器以限制最高频率分量,当原始信号中含有大于fs/(2D)的频率分量(采样率fs,抽取因子D),抽取后的信号会出现混叠,当使用带宽为pai/D的滤波器,可实现抗混叠。matlab的dsp.FIRDecimator函数实现了2倍抽取+滤波(截止角频率为0.4*pai),假如fs=650Hz,则fmax=325Hz,截止频率=0.4

2020-09-18 23:07:05 5806 2

原创 MATLAB仿真-在频谱上显示内插和抽取效果

目录结论2倍内插仿真2倍抽取仿真结论内插频谱压缩,抽取频谱扩展。2倍内插仿真以下是2倍内插前后的频谱图对比,可以看出:2倍内插即将原频谱2倍压缩,原40Hz处变成了20Hz,原25Hz变成了12.5Hz。测试代码如下:fs = 120; % sample frequency (Hz)t = 0:1/fs:10-1/fs; % 10 second span .

2020-09-18 22:05:50 3685

原创 高速接口SFP、GbE、SRIO、PCIE、CPRI和SGMII的参考时钟选择问题

参考时钟大小由高速接口线速率决定。SFPSFP线速率一般为4.25Gb/s、2.125Gb/s和1.0625Gb/s。在ZynQ 7000手册ug476中可以看到不同接口支持的典型参考时钟,如下图。图1CPLL Divider Settings for Common Protocols(部分)上图中给出的REFCLK仅是典型值,计算公式在ug476中可以看到,当N1、N2、D...

2020-01-27 23:03:47 14371

原创 频分复用、时分复用、码分复用和空分复用的区别

已经知道空间、频率和时间都是硬资源,而码是软资源。此文的4种复用,均涉及到这4种资源。频分复用复用的是时隙、空间和码,划分的是载波带宽(频率上区分信道),即在同一时隙、同一空间、同一个正交码的情况下,将一个载波带宽划分为相互区别的、多个不同频点的子信道,分别传送不同的信号。时分复用复用的是频率、空间和码,划分的是时间(时间上区分信道),即在同一频率、同一空间和同一正交码的情况下,按照时...

2019-08-29 21:28:38 19756

原创 Skill语言实现将一个table中的坐标point(x,y)按照x和y进行从小到大排序的函数

/*****************对table中的point进行排序,按照type值将x或者y从小到大排*******************/defun(TableSort (table type) table_len=length(table) let((sortedTable) sortedTable=makeTable("table") for(i 0 table_l...

2019-08-01 15:00:29 1508

原创 Allegro使用Skill语言实现根据两点p1,p2确定的线段判断是否与bbox构成的矩形相交的函数

/*****************判断两点p1,p2确定的线段是否与bbox构成的矩形相交的算法*******************/defun(isLineIntersectRectangle (p1 p2 bbox) x1=car(p1) y1=nth(1 p1) x2=car(p2) y2=nth(1 p2) lineHeight=y1-y2 lineWidth=x2-x...

2019-07-31 20:47:10 656 2

原创 Allegro利用Skill语言实现判断1个坐标是否在bBox构成的矩形框内的函数

/*****************获取叉乘*******************/defun(GetCross (p1 p2 p) p1x=car(p1) p1y=nth(1 p1) p2x=car(p2) p2y=nth(1 p2) px=car(p) py=nth(1 p) let((res) res=(p2x-p1x)*(py-p1y)-(px-p1x)*(p2y-p...

2019-07-31 14:03:41 1046

原创 Allegro利用Skill语言实现获取匹配组(MatchGroup)下的所有网络名功能

/******************获取匹配组下的所有网络名******************/defun(GetMatchGroupNetNames (MGName)MG=axlDBGetDesign()->matchgroupMG_len=length(MG)let((groupMembersTable)groupMembersTable=makeTable("tabl...

2019-07-28 17:55:12 1456

原创 Allegro利用Skill语言实现通过焊盘获取对应的网络名的功能

/******************获取焊盘的网络名******************/defun(GetPinsNetName (PinName)axlClearSelSet()axlSetFindFilter( ?enabled (list "noall" "pins" ) ?onButtons (list "pins"))dbid = axlSelectByName("PIN"...

2019-07-28 17:49:15 1170

原创 Skill语言的数组排序函数

defun(ArraySort (array)array_len=length(array)let((sortedarray)declare(sortedarray[8])for(i 0 array_len-1 sortedarray[i]=array[i]) for(i 0 array_len-2 for(j i+1 array_len-1 if(sortedarray[i]&g...

2019-07-27 23:07:34 1268 1

原创 Allegro利用Skill语言实现根据NET获取同网络下所有过孔的坐标信息

代码如下:defun(GetViaCoordinate (NetName)axlClearSelSet()axlSetFindFilter( ?enabled (list "nets" ) ?onButtons (list "nets"));p = axlSelectByName("NET" "DDR_B4420_MDQ0" t)p = axlSelectByName("NET" N...

2019-07-27 18:45:42 1685 3

原创 Allegro利用Skill实现BGA封装的自动扇出功能

此代码并非原创,是根据Cadence Skill论坛的一份代码修改而来,主要功能是:用户自己指定位号(需要BGA封装)和过孔,实现该位号的自动扇出功能(自动打孔+自动将过孔和焊盘连接),代码如下:procedure(bga_fanout(RefDes) logFile = "./bga_fanout_debug.log" ;LogFile Used f...

2019-07-27 17:36:55 1912

简单的功能:时间戳转换工具

相貌平平、普普通通的时间戳转换工具,比如1679635084转化为2023-03-24 13:18:04

2023-03-24

dBm和Vpp转换小工具

特色: 实现单位的自动转换: 比如:50dBm对应200.00Vpp; -10dBm对应200.00mVpp; -70dBm对应200.02uVpp;

2023-03-21

python实现的dbm和w转换小工具

特色: 单位自动转换,比如: 30dBm转化为1.0W; 29dBm转化为794.3mW; -1dBm转化为794.3uW; -31dBm转化为794.3nW; -61dBm转化为794.3pW;

2023-03-21

python实现的mil和mm互相转换的小工具

python实现的mil和mm互相转换的小工具

2023-03-20

高速接口参考时钟计算公式.xlsx

该文档使用ug476里给出的不同的N1、N2、M和D条件下,计算出SFP、GbE、SRIO、PCIE和CPRI的参考时钟

2020-01-27

交换芯片原理图(.DSN)+对应的PCB封装和焊盘库,可以拿来练习Allegro布线

本压缩包包含了1个Cadence 16.6 的DSN(主要包含1个交换芯片和4个网络变压器的原理图)+Allegro的封装和焊盘库,你可以生成网表后直接导入Allegro,练习布局布线以及如何设置差分线等长、层叠等,如果你正在学习Allegro,并且没有实际的工程可以练手,这个压缩包是你需要的。

2018-11-10

python读写mdb、读excel的ui界面的源代码和exe文件

python读写mdb、读excel的ui界面的完整源代码、mdb测试文件和excel测试文件和exe文件,使用Qt Designer产生ui文件,源代码用到了多线程、自定义信号和槽(slot)函数,两个文件打开对话框用来选择mdb文件和excel文件,文本显示框可实时打印信息

2018-10-09

LTC4303库文件和仿真工程文件

该文件包含了LTC4303的ibs库文件、lib文件、olb文件以及Cadence工程文件,可观看上拉电阻和负载电容取不同值下的波形,加深对上拉电阻和负载电容的取值的理解

2018-09-30

LMK00338芯片的PCB和焊盘文件,可导入Allegro使用

芯片LMK00338的焊盘文件、热焊盘文件和封装文件,可导入到Cadence Allegro中使用

2018-09-16

smartprinter(虚拟打印机)4.1破解版

2017-03-10

《嵌入式Linux应用开发完全手册》韦东山光盘资料

2017-03-10

ADIsimPLL_V3_41_07_setup

ADIsimPLL_V3_41_07_setup

2017-03-10

AppCAD(RF计算软件)

2017-03-10

u-boot-1.1.6.tar.bz2

2017-03-10

ALIENTEK MiniSTM32开发板例程

此为正点原子的Ministm32开发板的库函数版本的例程,欢迎下载

2014-05-28

ALIENTEK MiniSTM32开发板扩展例程

此为正点原子的ALIENTEK MiniSTM32开发板的全部扩展例程,现奉献出来,因为需要积分,所以没积分的可以向我索要...

2014-05-28

WPS表格工具箱

这是新版WPS的表格工具箱,现在奉献给大家。

2014-05-28

XP HyperTerminal

此为XP HyperTerminal 超级终端的完整版,网上找了好久,才找到,免费奉献出来!

2014-05-28

正弦波振荡电路电路图大全

这里包括了64幅正弦波振荡电路的电路图。

2010-07-09

[电路(全美经典学习指导系列)].(美)埃德明斯特&奈维.扫描版

该课程涵盖了全部的课程基础,有丰富的习题,非常适合自学。

2010-07-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除