自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

DengFengLai123的博客

电子科技大学,研究方向无线与移动通信,FPGA硬件实现,FPGA探索者公众号/B站/知乎。

  • 博客(90)
  • 收藏
  • 关注

原创 不容错过的FPGA/数字IC秋招笔试面试汇总帖(2022届)【秋招】【实习】

FPGA/数字IC秋招笔试面试汇总帖(2022届)【FPGA探索者】FPGA时序分析之关键路径(Critical Path)【华为静态时序分析资料】【笔试面试】【FPGA探索者】FPGA笔试面试题之FIFO深度计算【字节跳动】【大疆】【简便计算公式】FPGA、数字IC系列(1)——乐鑫科技2021数字IC提前批笔试【FPGA探索者】Verilog笔记——奇数分频和小数分频【FPGA探索者】同步后的复位该当作同步复位还是异步复位?——Xilinx FPGA异步复位同步释放【FPGA探索者】不得

2021-03-10 20:08:06 2692

原创 MATLAB与FPGA数字信号处理(数字滤波器设计)、数字IC、无线通信、图像处理、信道编码系列

matlab 与 FPGA 图像处理系列(1)基于FPGA的实时边缘检测系统设计,Sobel边缘检测,FPGA实现Sobel图像边缘检测,FPGA图像处理,MATLAB图像边缘检测matlab 与 FPGA无线通信、FPGA数字信号处理系列(1)——通过matlab的fdatool工具箱设计FIR滤波器(2)——Vivado调用IP核设计FIR滤波器(3)—— Matlab 与 Viva...

2020-01-21 20:01:22 6713

原创 2019年全国大学生电子设计竞赛综合测评

1、常备电路在综合测评中,基本都是考核波形的产生和变换,涉及到正弦波、方波、三角波和上述信号的合成信号,涉及到的电路有:(1)方波、三角波振荡电路:使用一个运算放大器产生特定频率和幅度的方波或者三角波(注意是只用一个运放);(2)555定时器电路:产生特定频率方波信号,部分电路可以调节方波的占空比,输出高电平为供电电压,可以用电阻分压得到要求的幅值;(3)同相加法器:进行波形合成,一般后面...

2019-08-14 15:57:18 15198

原创 数字IC笔试题——门控时钟与控制信号电平、与门门控、或门门控、上升沿门控、下降沿门控

从后端设计考虑,在必须使用门控时钟的时候,需要遵循一个原则:门控时钟的输出只能跟着时钟信号进行跳变,而不能跟着控制信号进行跳变,也就是说对于用NAND Gate或者AND Gate实现的门控时钟,控制信号只能在时钟的低电平处进行跳变;使用 ICG 集成门控的库或者原语,应该是不需要考虑的(如果要使用门控时钟,也只能用库或者原语,自己写的还是别用了)。使用下降沿的目的,保证时钟高电平时,输出端不会发生变化,跳变只出现在时钟的低电平区间。(2)ena 高电平开启门控,ena 的电平跳变必须在时钟的低电平区间;

2024-01-21 17:52:19 1048

原创 应届生谈薪技巧和注意事项,怎么为自己多争取1~2k(FPGA,芯片谈薪,数字IC,嵌入式,模拟IC,FPGA探索者)

脸皮要厚,要进行拉锯战,这个是为自己在争取权益,既然是出来出卖劳动力,就把自己卖个好价钱。当然,谈薪的最根本还是自身实力,今天所说的只是让你把20谈到22。

2024-01-21 17:39:15 1136

原创 FPGA数字IC刷题58道Verilog题解代码及视频讲解【FPGA探索者】【同步/异步FIFO】【跨时钟】

58道Verilog题目代码,包含时钟分频、跨时钟、组合逻辑、FSM状态机等

2022-06-11 11:46:28 2545 2

原创 FPGA数字IC的Verilog刷题解析基础版03——奇偶校验(奇偶检测)

1.题目用verilog实现对输入的32位数据进行奇偶校验,根据sel输出校验结果(sel=1输出奇校验,sel=0输出偶校验)。`timescale 1ns/1nsmodule odd_sel(input [31:0] bus,input sel,output check);//*************code***********////*************code***********//endmodule2.解析2.1奇偶校验通常所说的奇偶校验:奇校验:...

2022-05-15 17:08:06 1048 1

原创 FPGA数字IC的Verilog刷题解析基础版02——T触发器(异步复位和同步复位)

1.题目用verilog实现两个串联的异步低电平复位的T触发器的逻辑。这个题目的重点是要关注异步低电平复位。不得不读的 FPGA 设计白皮书——Xilinx FPGA 复位策略白皮书翻译(WP272)【FPGA探索者】联发科数字IC简答题(9)——异步复位同步释放问题2.解析2.1T触发器边沿T触发器:输入为1时下个时钟触发沿输出翻转;输入为0时下个时钟触发沿输出保持。边沿D触发器,输入为1时下个时钟触发沿输出为1,输入为0时下个时钟触发沿输出为0。所以关于...

2022-05-15 17:01:23 1513 2

原创 FPGA数字IC牛客刷题解析基础版01——四选一选择器(三目运算符?:和case语句)

1.题目四选一选择器——>刷题地址2.代码2.1写法1——三目运算符?:assign语句+三目运算符?:`timescale 1ns/1nsmodulemux4_1(input[1:0]d1,d2,d3,d0,input[1:0]sel,output[1:0]mux_out);assign mux_out = (sel == 2'b00) ? d3 : ((sel == 2'b01) ? d2 : (sel == 2'b10) ? d1 : d0);endm...

2022-05-11 00:01:10 1982 1

原创 HR面必问问题——和HR斗智斗勇(数字IC,FPGA,计算机,JAVA,算法,C++,产品,芯片通用)

在数字IC和FPGA相关岗位中,很多公司都会包含HR面。很多同学非常重视技术面试和主管面,但是我想和大家强调一下HR面也是非常重要的,一些公司的HR权力挺大,起码能让你挂掉面试或者offer排名低。实际上,HR面是有一套固定套路的,接下来,请听我细细道来。HR面一般是放在最后一面,比如zeku是技术面+主管面+HR面,联发科一般是技术面+主管面+HR电话沟通,中兴和华为是技术面+主管面,没有单独的HR面。通常,HR面试时间是30分钟~1小时,如果是线下的面试有时候会短一点。对于H..

2022-05-02 21:36:14 2953

原创 FPGA和数字IC实习秋招必备基础知识目录

2023届实习、秋招必备的基础知识目录,可以根据本目录查缺补漏。作者:DengFengLai123,FPGA探索者。(1)MOS管门电路,非门、与非、或非结构;(2)低功耗设计,静态功耗、动态功耗;(3)跨时钟域处理,单bit(快->慢,慢->快),多bit异步FIFO,DMUX;(4)FIFO的相关扩展,同步FIFO实现、异步FIFO结构、格雷码、FIFO深度计算;(5)FSM有限状态机,米利型、摩尔型、序列检测、序列产生;(6)亚稳态,原因、解决方法;(7)锁

2022-05-01 17:06:10 3860

原创 FPGA手撕代码——CRC校验码的多种Verilog实现方式 (2021乐鑫科技数字IC提前批代码编程)

完整工程代码在【FPGA探索者】回复【CRC】获取。用Verilog实现CRC-8的串行计算,G(D)=D8+D2+D+1,计算流程如下图所示:一、分析CRC循环冗余校验码(Cyclic Redundancy Check),检错码。(1)该题目所述为CRC-8,即输出8位CRC校验值,给定一段长为N-bit的有效输入序列,输出(N+8)-bit的数据,其中前N-bit数据为输入的原始数据,添加的8-bit数据为CRC校验数据;(2)该CRC-8的生成多项式为G(D)=D8+D

2022-04-22 22:09:36 3347

原创 数字IC笔试题(3)——TTL和CMOS电路

以下说法正确的是()A. TTL门电路的输入端可以悬空,CMOS门电路的输入端不可以悬空;B. TTL门电路和CMOS门电路的输入端都不可以悬空;C. TTL门电路的输入端悬空相当于接高电平,CMOS的输入端悬空相当于接低电平;D. TTL门电路和CMOS门电路的输入端都可以悬空; 答案:A解析: A. 对,CMOS不可悬空,TTL可以悬空; C.TTL悬空相当于接了无穷大电阻,大于开门电阻,认为输入了...

2022-04-17 14:37:12 2364

原创 数字IC笔试题(2)——降低动态IR DROP

降低动态IR DROP的方法包括()(注意:题目中的IP DROP实际应该是IR DROP) 答案:AB解析: IR DROP,IR电压压降,是集成电路中电源和地网络上的电压出现下降或者上升的现象。IR压降的大小取决于电源引脚到所计算的逻辑门之间的等效电阻大小。IR DROP分为两类,一个是静态IR DROP,一个是动态IR DROP;可能会导致一些时序问题。 静态IR DROP产生的原因主...

2022-04-17 14:34:39 4639

原创 笔试 | 数字IC设计之1bit的半加器、全加器实现

什么是半加器,什么是全加器,请用Verilog分别实现1位半加器和1位全加器,并写TestBench仿真文件,给出WORD或PDF版本的报告,包括但不限于文字说明、代码、仿真测试图等。【解答】1.原理半加器全加器当多位数相加时,半加器可用于最低位求和,并给出进位数。第二位的相加有两个待加数和,还有一个来自前面低位送来的进位数。这三个数相加,得出本位和数(全加和数)和进位数。这种就是“全加"真值表:2.编程思路(1)根据真值表编写按照半加器和全加器的

2022-04-17 14:23:03 4777 2

原创 FPGA/数字IC实用笔试面试刷题汇总

(1)HDLBits:Verilog基础题比较多,题量大,波形对比比较好用,全部是Verilog编程,适合初学者锻炼代码编程能力(题目全是英文版的);https://hdlbits.01xz.net/wiki/Main_Page(2)牛客刷题:Verilog刷题,加上FPGA的一些选择题,Verilog代码难度比HDLBits大一点【文末阅读原文转到】,适合初学者刷基础版和选择题,进阶者刷中难题,有对应解析和讨论;https://www.nowcoder.com/exam/oj?ta

2022-04-16 20:14:36 1950

原创 2020年vivo数字IC设计/芯片设计笔试题解析(1)

单选1~17题,给出答案和分析。PDF版可在【FPGA探索者】公众号回复【vivo笔试1】获取。2023届FPGA/PGA/数字IC实习秋招群:6764753251.原码、补码、反码1.十进制数-1,用4位二进制表示的原码、补码、反码分别是()A.1001B0111B1110BB.1111B0111B1000BC.1111B1110B1000BD.1001B1111B1110B答案:D解析:有符号数表示,正数的原码、反码、补码一样,重点是负数采用补...

2022-04-16 19:19:23 4038

原创 数字IC笔试题——CPU相关、计算机体系结构

以下关于CPU表述正确的是()A. CPU可以有多个取指单元和多个执行单元;B. 不支持MMU的CPU不能运行Linux;C. 流水线深度与工作频率正相关;D. 8051是RISC架构; 答案:AD解析:A. 对,超标量架构的CPU可以有多个取值单元和执行单元;B. Linux多线程,需要MMU内存管理单元支持;C. 流水级数过多时也会影响性能;D. 8051是RISC精简指令集架构,x86的CPU是CISC复杂指令集架构;取指、解码、执行三...

2022-04-12 22:39:37 1383

原创 聊聊华为校招流程及安排——23届实习、提前批、正式批(数字IC、FPGA逻辑、通信、软件等)

实习、提前批流程及注意事项。

2022-04-11 16:19:51 14280

原创 2017年全国大学生电子设计竞赛综合测评题目解析——2022更新(方波生成,方波分频,三角波,加法器,滤波器,正弦波))

这里写自定义目录标题一、题目二、方案设计三、具体参数设计1.方波 12.方波 23.三角波4.合成波5.正弦波使用Multisim 14仿真,文件可联系博主获取。2017 年电子设计竞赛综合测评一、题目二、方案设计使用给定的共计 4 个运算放大器和 1 个数字芯片双 D 触发器,完成 5 个波形,其中:(1)使用 1 个运放产生 20 KHz 的方波 1,搭建 RC 振荡电路和滞回比较器,该电路比较重要,既可以产生方波,也可以产生三角波,可以用于方波发生器和三角波发生器;(2)使用 74LS

2022-03-26 15:00:36 11869 12

原创 什么是STA静态时序分析,有什么作用?【FPGA/数字IC笔试面试】【2022届校招笔试】【形式验证】【DFT】【时序路径】【时序检查系统函数】

STA静态时序分析(Static Timing Analysis)STA功能(1) 静态时序分析是一种验证数字集成电路时序是否合格的验证方法;(2) 静态时序分析的前提是同步逻辑设计(重要!),不能分析异步电路;(3) 静态时序分析工具计算路径延迟的总和,并比较相对于预定义时钟的延迟;(4) 静态时序分析仅关注时序间的相对关系,而不是评估逻辑功能;(5) 静态时序分析对所有的时序路径进行错误分析,不需要使用测试向量激活某个路径(与时序仿真的不同点),分析速度比时序仿真工具快几个数量级,克服了动.

2021-05-25 16:49:19 3635

原创 组合逻辑竞争冒险,时序逻辑亚稳态【0型冒险】【1型冒险】【消除方法】【数字IC笔试】【数字电路】

(大疆2020芯片工程师校招)组合逻辑电路中的冒险是由于( )引起的。A、电路有多个输出B.、电路未达到最简C、逻辑门类型不同D、电路中的时延答案:D解析:什么是竞争和冒险?(1)竞争在一个组合电路当中,当某一个变量经过两条以上的路径到达输出端的时候,由于每条路径上的延迟时间的不同,到达终点的时间就会有先有后,这一现象称作竞争。(2)冒险在具有竞争现象的组合电路中,当某个变量发生变化的时候,比如说从 1 跳到 0,或者说从 0 跳到 1,如果真值表所描述的逻辑关系或者功能遭受到短暂的

2021-05-25 16:38:04 4549 1

原创 2020大疆数字IC校招笔试题(3)——CMOS 反相器【CMOS逻辑】【MOS管】【PMOS】【NMOS】

(大疆2020数字IC)如下图,一个高速接口的端口电路示意图,要求D端发送数字0/1, DQ端收到相同的数字0/1. VREF电压为比较器数字输出0/1的判决电压。(1)S1断开时,DQ端VREF电压需设置为?(2)S1连通时,DQ端VREF电压需设置为?(3)驱动端发送0时功耗较低,这句话是否正确?为什么?备注:VREF电压是AD比较器判0或1的基准参考电压答案:(1)S1 断开时:若 D 为 1,Q 端电压为 VDDQ,VREF 小于 VDDQ;若 D 为 0,Q 端的电压为 0,

2021-05-25 16:30:53 1783

原创 联发科技2021校招IC笔试题全部解析【数字IC设计验证】【MTK笔试】【FPGA秋招实习提前批】【glitch-free时钟切换电路】【数字IC前端设计流程】【滤波器】

2020 年 7 月,校招 IC。PDF 版在【FPGA探索者】公众号回复【联发科笔试题】获取,打印后阅读。欢迎转发分享,如需转载,请显著注明来源。简答题1. 逻辑化简【公式化简】【卡诺图化简】化简 Y = B + (A)&(C) + (B)&(C)。卡诺图化简卡诺图中,每个方格是一个 最小项,相邻方格的最小项只有 1 位不同。​ n 个变量的逻辑函数,有 2^n 个最小项,对应卡诺图 2^n 个方格(2021校招华为FPGA逻辑,第33题)。【华为2021秋招

2021-05-12 22:51:34 7796 1

原创 2020年大疆芯片开发笔试(一)【FPGA资源】【存储器问题】【Source clock latency 约束】

(2020年大疆芯片开发)下列说法正确的是()A、乘法器在 FPGA 上必须使用 DSP 资源B、基于 SRAM 的 FPGA 器件,每次上电之后必须重新进行配置C、FPGA 的 ChipScope 设置同样的采样深度,如果想一次观测更长时间的信号波形,可以将采样时钟换成更高频率的时钟D、Source clock latency 也属于 FPGA IO 接口约束答案:B解析:A. 基础资源A. LUT 和 DSP乘法器可以通过 LUT 实现,特别是位宽较少的时候,默认使用 LUT 查找表实

2021-05-05 00:38:31 1311

原创 Verilog中用于时序验证的系统任务[setup][hold][skew][width][recovery][removal]【STA静态时序分析】

下列时序检查语句错误的是()A. $setup(posedge clk, data, tSU)B. $hold(posedge clk, data, tHLD)C. $setuphold(posedge clk, data, tSU, tHLD)答案:A解析:在时序检查函数中,$setup 函数比较特殊,格式是:$setup(data_event, reference_event, limit);其他常见的检查是:$......(reference_...

2021-05-05 00:10:34 8458

原创 FPGA时序分析之关键路径(Critical Path)【华为静态时序分析资料】【数字IC笔试面试】【流水线】【重定时retiming】【STA静态时序分析】

目录1. 组合逻辑中插入寄存器(插入流水线)2. 寄存器平衡(重定时Retiming)3. 操作符平衡(加法树、乘法树)4. 消除代码优先级(case代替if…else)5. 逻辑复制6. 关键信号后移参考:(1)华为:静态时序分析与逻辑设计(2)王敏志:FPGA设计实战演练(高级技巧篇)【FPGA探索者】公众号内回复【关键路径】获取两个资料。关键路径通常是指同步逻辑电路中,组合逻辑时延最大的路径(这里我认为还需要加上布线的延迟),也就是说关键路径是对..

2021-04-11 14:04:59 11954 4

原创 【华为2021秋招】【数字IC】【FPGA逻辑】【笔试解析】【独家】【2021届秋招】【FPGA探索者】【DengFengLai123】

声明:/*********************************************************文章首发于公众号,原创作者为【公众号/知乎:FPGA探索者】【CSDN博主:DengFengLai123】转载需保留此声明**********************************************************/2021届的秋招试题,2020年8月的题目。目前应该是独一份,存到现在了。修改如下:13题,答案没问题,对D的解析写的手滑了,应该是

2021-04-01 16:59:33 11701 4

原创 FPGA/数字IC秋招笔试面试006——数据定点无损量化问题(2022届)

【转载请注明出处】(大疆2020芯片开发工程师A卷)对12.918做无损定点化,需要的最小位宽是多少位,位宽选择11位时的量化误差是多少?A. 12位,0.0118B. 13位,0.0039C. 12位,0.0039D. 13位,0.0118答案:C,12位,误差0.0039解析:12.918,定点量化时对整数部分和小数部分分别量化。(1)整数部分12 ,最少使用4位量化,4-bit表示范围0~15;(2)小数部分0.918 ,假设12.918整体使用12位量化,整数已使用4-bit,

2021-03-11 23:52:24 1555

原创 FPGA/数字IC秋招笔试面试005——CDC跨时钟域处理(2022届)【多bit】【异步FIFO】【握手】

多bit跨时钟域(大疆2020数字芯片)下列关于多bit数据跨时钟域的处理思路,错误的有()A. 发送方给出数据,接收方用本地时钟同步两拍再使用;B. 发送方把数据写到异步fifo,接收方从异步fifo里读出;C. 对于连续变化的信号,发送方转为格雷码发送,接收方收到后再转为二进制;D. 发送方给出数据,发送方给出握手请求,接收方收到后回复,发送方撤销数据。答案:A解析:多bit跨时钟域不能简单使用打两拍,打拍后可能数据错乱;CDC(Clock Domain Conversion)跨时钟域

2021-03-10 19:57:34 2487

原创 FPGA/数字IC秋招笔试面试004——FSM有限状态机(Moore 型、Mealy 型)(2022届)

(单选)实现同一功能的 Mealy 型同步时序电路比 Moore 型同步时序电路所需要的 ______。A.状态数目更多B.状态数目更少C.触发器更多D.触发器更少答案:B解析:(1)Mealy型:输出信号不仅取决于当前状态,还取决于输入;(2)Moore型:输出信号只取决于当前状态;Mealy型比Moore型输出超前一个时钟周期,Moore型比Mealy多一个状态。实现相同的功能时:Mealy型比Moore型能节省一个状态(大部分情况下能够节省一个触发器资源,其余情况下使用的资源相同

2021-03-10 19:47:14 1283

原创 FPGA/数字IC秋招笔试面试003——FSM有限状态机、三段式状态机(2022届)

状态机的编码风格包括一段式、两段式和三段式,下列描述正确的是()A、一段式寄存器输出,易产生毛刺,不利于时序约束;B、二段式组合逻辑输出,不产生毛刺,有利于时序约束;C、三段式寄存器输出,不产生毛刺,有利于时序约束;D、所有描述风格都是寄存器输出,易产生毛刺,有利于时序约束。答案:C解析:(1)一段式:一个always块,既描述状态转移,又描述状态的输入输出,当前状态用寄存器输出;(2)二段式:两个always块,时序逻辑与组合逻辑分开,一个always块采用同步时序描述状态转移;另一个al

2021-03-08 23:49:50 1550 1

原创 FPGA/数字IC秋招笔试面试002——FPGA设计的面积优化和速度优化(2022届)【FPGA探索者】【2021秋招】

(2021乐鑫科技,多选)以下方法哪些是进行面积优化( )。A: 串行化B: 资源共享C: 流水线设计D: 寄存器配平E: 逻辑优化F: 关键路径优化答案:ABE解析:速度优化,提高运行速度:(1)流水线设计(也是属于关键路径的优化,在关键路径的组合逻辑中插入寄存器);(2)寄存器配平(重定时);(3)关键路径优化(减少关键路径上的组合逻辑延时);(4)消除代码优先级(if_else嵌套、case);(5)并行化(加法树、乘法树,消除符号运算的优先级);面积优化,提高资源利用率

2021-03-05 14:20:26 1959

原创 FPGA/数字IC秋招笔试面试001——什么是STA静态时序分析,有什么作用?(2022届)

STA静态时序分析(Static Timing Analysis)(1) 静态时序分析是一种验证数字集成电路时序是否合格的验证方法;(2) 静态时序分析的前提是同步逻辑设计(重要!),不能分析异步电路;(3) 静态时序分析工具计算路径延迟的总和,并比较相对于预定义时钟的延迟;(4) 静态时序分析仅关注时序间的相对关系,而不是评估逻辑功能;(5) 静态时序分析对所有的时序路径进行错误分析,不需要使用测试向量激活某个路径(与时序仿真的不同点),分析速度比时序仿真工具快几个数量级,克服了动态时序验证的缺

2021-03-05 14:08:19 1459 1

转载 FPGA中亚稳态【Tsu建立时间setup】【Th保持时间hold】【Tmet决断时间】【recovery恢复时间】【removal移除时间】【复位】

转载自:FPGA中亚稳态——让你无处可逃,博主:屋檐下的龙卷风1. 应用背景1.1 亚稳态发生原因  在FPGA系统中,如果数据传输中不满足触发器的Tsu和Th不满足,或者复位过程中复位信号的释放相对于有效时钟沿的恢复时间(recovery time)不满足,就可能产生亚稳态,此时触发器输出端Q在有效时钟沿之后比较长的一段时间处于不确定的状态,在这段时间里Q端在0和1之间处于振荡状态,而不是等于数据输入端D的值。这段时间称为决断时间(resolution time)。经过resolut

2021-02-22 19:22:49 1514

原创 Xilinx FPGA资源优化策略及其说明 WP275 白皮书【LUT查找表】【Flip-Flop】【wp272】【FPGA复位】【wp275】

Xilinx 复位白皮书 wp272Xilinx 资源优化白皮书 wp275(仅有9页)不得不读的 FPGA 设计白皮书——Xilinx FPGA 复位策略白皮书中文翻译(WP272)Xilinx FPGA异步复位同步释放——同步后的复位当作同步复位还是异步复位?【FPGA探索者】对wp275,讲的是通过适当的调整代码的顺序、改变代码等方式来降低资源消耗。需要说明的是,在wp275中使用的是LUT4,即4输入查找表,我使用了Xilinx 7系列的FPGA,该类型的FPGA已经使用6输入查找表LUT6

2021-02-20 22:10:10 1101

原创 Vivado入门与提高

第1讲 使用Vivado相关软件设计FPGA的流程第2讲 用三个DEMO讲解如何在设计中使用IP第3讲 Vivado仿真…第10讲 Vivado的IO端口和时钟的物理约束、设计技巧第11讲 Vivado设计技巧(Tcl命令、IP、保存ILA数据)第12-18讲 Vivado时序分析XDC、时钟约束、set_false_path、set_multicycle_path、set_input_delay、set_output_delay…第22-34讲Xilinx UltraFast设计方法学(时

2021-02-19 16:48:19 457

原创 DDS实现AM调制、DSB调制【Matlab】【FPGA】【Vivado】【信号处理】【通信原理】【软件无线电】【FPGA探索者】

目录一、为什么要调制二、幅度调制1、AM调制2、抑制载波的双边带调制(DSB)3、单边带(SSB)4、残留边带(VSB)5、性能分析三、Matlab实现1、调制2、非相干解调3、相干解调四、FPGA实现1、AM信号非相干解调2、DSB信号相干解调一、为什么要调制  调制(modulation)就是对信号源的信息进行处理(低频),加到载波上(高频),使其变为适合于信道传输的形式。无线电传输时,频率越高,波长越小,发射时需要的天线也越短。  式中,λ为波长(m);c为电磁波传播速度(光速3*10^8

2021-02-18 23:31:26 3827

原创 ZC706千兆网测试(ZYNQ,FreeRTOS,Echo,消息队列,QSPI启动,FSBL固化,lwIP,TCP,RGMII,Xilinx)

初学 FreeRTOS:(1)使用 ZC706 开发板测试 PS 端网口(Echo,lwIP协议栈);(2)配合操作 PL 端 LED(直接驱动和使用消息队列两种方式);(3)PS 端串口 UART 打印调试信息;(4)QSPI 固化(Dual Quad SPI Parallel 8 bit模式)。ZC706中,MAC 控制器与 PHY 通过 RGMII(Reduced Gigabit Media Independent Interface)接口进行连接,实现千兆网。一、工程概述1.开发板配置

2021-02-11 16:45:51 2668

原创 Xilinx FPGA异步复位同步释放——同步后的复位当作同步复位还是异步复位?【FPGA探索者】

目录一、异步复位同步释放二、Xilinx复位程序对比1.将同步化后的复位当作异步复位信号2.将同步化后的复位当作同步复位信号三、仿真结果四、Altera复位Xilinx 复位准则:(1)尽量少使用复位,特别是少用全局复位,能不用复位就不用,一定要用复位的使用局部复位;(2)如果必须要复位,在同步和异步复位上,则尽量使用同步复位,一定要用异步复位的地方,采用“异步复位、同步释放”;(3)复位电平选择高电平复位;(这里说明,由于 Altera 和 Xilinx 器件内部结构的不同,Altera 的 F

2021-02-08 19:45:51 1724 1

Quartus ii与verilog实现8位计数器,Modelsim仿真工程

Quartus ii 13.0 与 Verilog实现8位计数器,Modelsim仿真,有testbench。

2020-06-15

ADS2011教程合集.rar

应用ADS设计压控振荡器 VCO,ADS2009射频电路设计与仿真,ADS2011微带天线教程,ADS2011创建自己的元件库,Murata元件库

2020-04-26

Quartus ii与verilog实现8位计数器,Modelsim仿真

Quartus ii 13.0 与 Verilog实现8位计数器,Modelsim仿真,有testbench。

2020-04-05

matlab设计FDATOOL.rar

Matlab滤波器设计——fdatool工具箱使用教程,配置fdatool界面,设计对应的FIR和IIR滤波器,导出系数,并将系数导入到Quartus ii中的配置FIR的IP核,进行MATLAB与FPGA协同设计。

2020-03-26

2017国赛综合测评题目.pdf

2017年全国大学生电子设计竞赛综合测评题目,复合信号发生器,只是题目,没有仿真,仿真在我的博客里有讲解,请看博客

2019-08-12

电子设计竞赛综合测评题目汇总.rar

2011/2013/2015/2017年电子设计竞赛综合测评题目汇总,pdf版本,只是题目,没有仿真答案,讲解部分在我的博客里有,请看博客

2019-08-12

FilterProDTSetup.rar

TI公司的滤波器设计软件,免费的,非常好用,巴特沃斯、切比雪夫等多种类型的增益曲线图形化对比,SF和MFB结构均可。

2019-08-10

安卓开发实例-计算器

安卓实例之计算器,完整代码,借鉴了网上的资料,是课程设计做好的,在酷派手机上运行过,保证可以使用

2018-11-10

DFT数字图像处理

lena图片的DFT、DCT、IDCT处理,使用matlab工具,代码可靠运行

2018-07-28

FPGA实现sobel图像边缘检测,VGA显示

Verilog实现sobel图像边缘检测,并利用VGA显示,各模块均生成了bdf模块搭建在顶层文件中,直观方便,值得学习,本人CSDN博客里有相关讲解

2018-07-28

ads1115驱动,msp430f149单片机

I2C协议驱动ads1115,16高精度AD模块,测量范围±256mV到±6.128V,PGA调整,msp430f149单片机

2018-07-28

JN12864使用说明

2017-03-27

msp430的protel库文件

msp430单片机的库,画电路图方便。分享一下这个资源

2017-03-27

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除