自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(97)
  • 资源 (7)
  • 收藏
  • 关注

原创 RISCV-V-1.0向量扩展指令集学习

大部分内容翻译自 riscv-v-spec-1.0部分参考:

2022-12-22 22:56:46 2183 1

原创 Systemverilog实现参数化的Round-Robin Arbiter Tree

本篇内容涉及的rtl代码为开源组织PLUP的common cell仓库中的源代码,本文只是对其进行些许解读。源码链接如下:[

2022-12-21 15:22:52 1144

原创 超标量处理器设计——第十章_提交

一条指令到达流水线提交阶段不一定代表该指令一定是正确的.由于分支预测失败和异常的存在, 处于完成状态的指令很可能还会从流水线中抹除只有在这条指令之前进入流水线的所有指令都退休了, 且该指令也处于完成状态, 它才能退休离开流水线提交阶段的一个重要任务就是处理精确异常, 所谓精确异常, 就是异常之前的指令都已经完成, 其后的指令都不应该改变处理器状态.一个N-way超标量处理器, 每周期最少可取N条指令送人流水线, 所以每周期至少也要将N条指令退休, 才能保证流水线不堵塞。

2022-12-21 11:48:07 644

原创 超标量处理器设计——第九章_执行

每个FU都有一个1-M仲裁器, 每个仲裁器和物理寄存器堆的读端口一一对应。

2022-12-21 11:47:16 558

原创 超标量处理器设计——第八章_发射

参考《超标量处理器》姚永斌著。

2022-12-21 11:45:58 512

原创 超标量处理器设计——第七章_寄存器重命名

参考《超标量处理器》姚永斌著。

2022-12-21 11:45:25 678 1

原创 超标量处理器设计——第四章_分支预测

最广泛使用的预测技术就是两位饱和计数器的分支预测器有四个状态,如下图:状态机在饱和时需要连续两次预测失败才会改变预测结果相当于一个去抖电路可以使用格雷码减少翻转降低功耗每一个PC都会对应一个两位饱和计数器, 但是这样对于32位的PC长度来说, 全部分配一个显然是不现实的, 因此通常用PHT, 如下图:PHT (Pattern History Table)是一个表, 存放PC值的一部分对应的两位饱和计数器的值.PHT只用PC的k位来寻址, 以降低表项个数PC值的k部分相同的两条指令会对应PHT的同一个表项,

2022-12-21 11:44:26 1229

原创 超标量处理器设计——第三章_虚拟存储器

参考《超标量处理器》姚永斌著。

2022-12-21 11:42:05 461

原创 超标量处理器设计——第二章_Cache

参考《超标量处理器》姚永斌著。

2022-12-21 11:40:43 592

原创 Quartus 调试笔记

Quartus 调试笔记@(verilog)最近忙于芯片跟测试FPGA联调,解锁了不少quartus的用法,在此记录一下备忘。文章目录Quartus 调试笔记一. modelsim 联仿1. tb文件创建2. modelsim联仿环境二. modelsim - quartus 动态调试仿真三. quartus-modelsim仿真ROM注意事项四. SignalTap 使用一. modelsim 联仿1. tb文件创建Processing — Start — Start testbenc

2020-08-31 16:16:44 2205

原创 面试题 - 二输入比较器实现排序算法

面试题 - 二输入比较器实现排序算法@(数字集成电路基础)1. 问题描述给定8个数,以及若干二输入的比较器(可以将两个输入排序)。要求在单周期内实现8个数的排序,并使用最少的比较器个数。(乐鑫)(距离面试已经过了很久,抽空整理一下当时的题目)2. 问题解析乍一看,排序算法,这不是个算法题么,将8个数排下序,脑子里最先出来的是什么冒泡,选择,插入排序…赶紧打住,我们现在在讨论电路,不要走错片场了。实际上题目限定了二输入的比较器,所以方向很明确,现在已经有二输入排序模块,我们要用这个二输入的模块

2020-07-27 15:43:49 3396 6

原创 Verilog -- 序列发生器的设计

Verilog – 序列发生器的设计@(verilog)文章目录Verilog -- 序列发生器的设计1. 题目2. 思路1 - 状态机实现3. 思路2 - 移位寄存器实现4. 思路3 -- 计数器+组合逻辑1. 题目产生序列信号11010111至少需要几级触发器?2. 思路1 - 状态机实现最容易想到的就是采用状态机,每个状态输出序列中的一位,发送完一组序列后回到开始状态继续循环。需要注意的是状态变量的位宽,由于题目要求采用最少的触发器,因此至少需要log2(序列长度)log_2(序列长度

2020-06-21 12:59:10 5546

原创 VIM插件 -- 自动生成verilog module的testbench

VIM插件 – 自动生成verilog module的testbench@(VIM)文章目录VIM插件 -- 自动生成verilog module的testbench1. 动机2. 代码3. 使用方法4. 效果5. 说明1. 动机软件语言都有各自好用的IDE,各种自动补全,高亮,语法检查。而苦逼的ICer大多还操着远古时期的VIM写着verilog。也是,硬件语言本身就小众,即使是xilinx, altera等大厂的vivado, quartus等大牌软件,自带的代码编辑器也不是很友好。好在号称编辑

2020-06-19 00:27:03 1983 6

原创 数字集成电路 -- 各种计数器简介

数字集成电路 – 各种计数器简介@(知识点汇总)文章目录数字集成电路 -- 各种计数器简介1. 环形计数器2. 扭环形计数器3. 线性反馈移位寄存器4. 行波计数器1. 环形计数器https://wenku.baidu.com/view/73ec140bba1aa8114431d945.html N个寄存器构成的环形计数器,状态数:N 2. 扭环形计数器https://wenku.baidu.com/view/73ec140bba1aa8114431d945.htmlN个寄存器构

2020-06-07 22:33:32 2651

原创 Verilog -- 并行2bit输入序列检测器

Verilog – 并行2bit输入序列检测器@(verilog)乐鑫2020笔试题:描述:模块输入口是并行的2bit,实现对(1011001)2(1011001)_2(1011001)2​的序列检测,输入数据顺序为高位2bit先输入,当检测到序列时输出一拍高电平脉冲,用verilg描述。方法一:状态机采用状态机描述,先列出状态转移表,跟单bit输入不同的是,这里的输入是并行的2bit:state\input0001101100110110101

2020-06-02 11:40:15 1873

原创 工具/插件 -- CACTI:一种Cache/Memory分析工具

工具/插件 – CACTI:一种Cache/Memory分析工具@(工具/插件)文章目录工具/插件 -- CACTI:一种Cache/Memory分析工具1. 简介2. 使用最近发现了一种可以评估DRAM访存功耗的工具,对于需要分析片外存储(DRAM)的访存功耗以及延时的设计比较有用,例如:深度学习加速器设计。1. 简介CACTI是一种分析工具,它接受一组 Caches/Memory参数作为输入,并计算其访存时间、功耗、周期时间和面积。目前更新到7.0版本,并且支持下面几种Memory的分析:

2020-05-21 22:53:51 1996 4

原创 论文笔记 -- Communication Lower Bound in Convolution Accelerators 卷积加速器中的通信下界

论文笔记 – Communication Lower Bound in Convolution Accelerators 卷积加速器中的通信下界@(论文笔记)文章目录论文笔记 -- Communication Lower Bound in Convolution Accelerators 卷积加速器中的通信下界1. 目的2. 背景2.1 卷积循环以及复用方法2.2 相关工作的局限a. 单一数据流方法b. 多数据流方法c. 设计空间探索方法d. 其他工作2.3 准备工作:红蓝卵石游戏(Red-blue P

2020-05-21 10:36:30 1081

原创 Verilog -- 任意整数除以三求商和余数

Verilog – 任意整数除以三求商和余数@(verilog)文章目录Verilog -- 任意整数除以三求商和余数1. 问题简介2. 思路3. 代码1. 问题简介问题:输入一个16bit的数,现在要求它除以3得到的商和余数,如何优化?来源:@笑着刻印在那一张泛黄 提供,面试真题。2. 思路一开始联想到之前写过的另一篇博文序列模三检测器,但是这只能解决余数的问题,没法得到商。后面的想法是直接使用任意整数除法器来实现,由于除数是3,比较特殊,实际上除3只需要考虑三个序列,也就是11,10

2020-05-19 20:46:52 6658

原创 Verilog -- 改进的Booth乘法(基4)

Verilog – 改进的Booth乘法(基4)@(verilog)文章目录Verilog -- 改进的Booth乘法(基4)1. 背景2. 原理3. 算法实现4. Verilog 代码1. 背景之前已经介绍过Booth乘法算法的基本原理以及代码,实际上之前的算法是基2的booth算法,每次对乘数编码都只考虑两位。因此在实际实现时往往效率不高,考虑最坏情况,使用基2的booth算法计算两个8位数据的乘法,除了编码复杂,计算时需要累加8个部分积,可见最坏情况跟普通阵列乘法器需要累加的部分积个数一样,因

2020-05-14 17:32:29 6872 7

原创 Verilog -- 求两数最大公因数(gcd)和最小公倍数(lcm)

Verilog – 求两数最大公因数和最小公倍数@(verilog)文章目录Verilog -- 求两数最大公因数和最小公倍数1. 原理简介1.1 辗转相除法求公因数1.2 最小公倍数求法2. 代码实现1. 原理简介1.1 辗转相除法求公因数求最大公因数的常用算法为辗转相除法,又被称为欧几里德(Euclidean)算法, 是求最大公约数的算法。辗转相除法首次出现于欧几里得的《几何原本》(第VII卷,命题i和ii)中,而在中国则可以追溯至东汉出现的《九章算术》。两个数的最大公约数是指能同时整除它们

2020-05-11 10:02:41 2586 3

原创 Verilog -- 无符号整数除法器(二)

Verilog – 任意整数除法器(二)文章目录Verilog -- 任意整数除法器(二)在 Verilog – 任意整数除法器(一)中已经给出了一种除法器的组合逻辑实现,但是实际使用中可能还是需要讲组合逻辑插拍才能得到更好的性能。下面给出一种基于状态机的时序逻辑除法器实现。这边先上一下算法流程图,跟之前的一样:是否是否32位整数a除以ba的高位扩展32位b的低位扩展32位a左移一位a>=b?a = a-b+1移位次数<32输出a下面是verilog代码:`timescale 1n

2020-05-10 20:51:14 2424 1

原创 专用集成电路 -- 运算电路 (加法器,乘法器,移位器)

专用集成电路 – 运算电路@(知识点汇总)文章目录专用集成电路 -- 运算电路1. 二进制加法器1.1 逐位进位加法器1.2 静态CMOS加法器1.3 镜像加法器(mirror adder)1.4 传输门型加法器1.5 曼彻斯特进位链加法器1.6 进位旁路加法器(Carry-Bypass Adder)1.7 线性进位选择加法器(Linera Cary-Select Adder)1.8 平方根进位选择加法器(Square-Root Carry-Select Adder)1.9 超前进位加法器(Carry-

2020-05-09 17:46:55 8350

原创 Verilog -- 乘法器Booth算法

乘法器 – Booth算法@(知识点汇总)文章目录乘法器 -- Booth算法1. 原理2. 一般化推论3. 实际算法1. 原理Booth算法的原理其实小学初中就学过,比如下面这道题:简便计算:8754×998=?8754 \times 998 = ?8754×998=?随便抓个娃娃来都知道应该这么算:8754×998=8754×1000−8754×28754 \times 998 ...

2020-05-07 13:12:53 6616 10

原创 专用集成电路 -- CMOS组合逻辑设计

专用集成电路 – CMOS组合逻辑设计文章目录专用集成电路 -- CMOS组合逻辑设计1. 静态互补CMOS1.1 阈值损失1.2 两输入与非门实例1.3 延时与扇入的关系1.4 解决大扇入的方法2. 组合逻辑性能优化2.1 逻辑努力,门努力2.2 组合逻辑链最小延迟计算3. CMOS逻辑门中的功耗3.1 开关活动性的静态部分3.2 开关活动性的动态虚假翻转3.3 降低组合逻辑的开关活动性4. ...

2020-05-05 19:16:46 6893 1

原创 专用集成电路 -- 反相器

专用集成电路 – 反相器@(知识点汇总)《数字集成电路–电路、系统与设计》第二版 复习笔记[TOC]Chapter 11.反相器的电压传输特性 (VTC) VOHV_{OH}VOH​: 额定高电压VOLV_{OL}VOL​ : 额定低电压VMV_{M}VM​ : 门阈值电压(开关阈值电压,非晶体管VT)是Vout=VinV_{out}=V_{in}Vout​=Vin​的...

2020-05-01 16:51:35 10708 7

转载 SV -- Coverage 覆盖率

SV – Coverage 覆盖率本文内容来自:http://www.asic-world.com/systemverilog/coverage.htmlhttps://verificationguide.com/systemverilog/systemverilog-array-manipulation-methods/https://blog.csdn.net/bleauchat/...

2020-04-29 13:18:49 5196

原创 Verilog --序列检测器(采用移位寄存器实现)

转自:https://www.cnblogs.com/qiweiwang/archive/2011/04/18/2019952.htmlVerilog --序列检测器(采用移位寄存器实现)序列检测器就是将一个指定序列从数字码流中识别出来。本例中将设计一个“10010”序列的检测器。设X为数字码流的输入,Z为检测出标记输出,高电平表示发现指定的序列10010.考虑码流为1100100100...

2020-04-29 10:41:00 41

转载 Verilog -- 序列检测器(采用移位寄存器实现)

转自:https://www.cnblogs.com/qiweiwang/archive/2011/04/18/2019952.htmlVerilog --序列检测器(采用移位寄存器实现)序列检测器就是将一个指定序列从数字码流中识别出来。本例中将设计一个“10010”序列的检测器。设X为数字码流的输入,Z为检测出标记输出,高电平表示发现指定的序列10010.考虑码流为11001001000...

2020-04-29 10:39:51 5631 1

翻译 SV -- Randomization 随机化

SV – Randomization 随机化@(SV)文章目录SV -- Randomization 随机化0. 基础1. 随机化方法2. Constraint3. inside4. dist5. 条件约束6. foreach 约束7. disable constraint7. static constraint8. inline constraint9. soft constraint10....

2020-04-28 20:41:24 1609

翻译 SV -- Interprocess Communication (IPC 线程间通信)

SV – Interprocess Communication (IPC 线程间通信)@(SV)文章目录SV -- Interprocess Communication (IPC 线程间通信)1. Semaphore 旗语2. Mailbox 信箱3. Event 事件1. Semaphore 旗语旗语是sv内置的方法,可以用来做线程间的同步。旗语就像一个桶,里面有很多键。使用旗语的进...

2020-04-28 20:40:19 407

翻译 SV -- Class 类

SV – Class 类文章目录SV -- Class 类0. 基础1. static2. shallow copy3. deep copy5. parameterized class6. 继承7. 多态(polymorphism)8. 修改成员函数9. super10. casting11. 公有和私有12. 抽象类(abstract class)12. 域分辨符::13. External1...

2020-04-27 17:40:20 644

原创 Verilog -- 序列模三(整除3)检测器

Verilog – 序列模三(整除3)检测器描述:输入口是1bit,每次进来一位数据,检查当前序列是否能整除3,能则输出1,否则输出0.例如:序列=1,out=0;序列=11,out=1;序列=110,out=1;序列=1101,out=0;首先需要找一下规律,一个数被三除,只可能会有三种情况:1.余数为0;2.余数为1;3.余数为2;假设当前序列表示的数是x,商为a,余数为...

2020-04-24 16:31:14 5028

翻译 SV -- Array 数组

SV – Array整理下system verilog中数组的用法,备忘。文章目录SV -- Array1.定宽数组1.1 Packed array1.2 Unpacked array2. 动态数组(new)2.1 重新定义动态数组大小3. 关联数组(字典)4. 队列(Queue,$)1.定宽数组int array1 [6]; //fixed size singl...

2020-04-18 14:51:43 7464

翻译 SV -- Assertions 断言

SV – Assertions 断言文章目录SV -- Assertions 断言1.简介1.1 立即断言2.SVA (system verilog assertion)2.1 Sequence2.2 Property2.2.1 implication2.2.2 repetition 重复操作2.2.3 go to repetition 跟随重复2.2.4 Nonconsecutive repe...

2020-04-17 10:55:36 7582 1

原创 Verilog -- 阻塞与非阻塞的仿真与综合

Verilog – 阻塞与非阻塞的仿真与综合文章目录Verilog -- 阻塞与非阻塞的仿真与综合基本概念Verilog层积事件列(stratified event queue)示例:自触发的always块阻塞和非阻塞的综合问题非阻塞赋值和$display0延时建议参考 Clifford E. Cummings, Sunburst Design, Inc. “Nonblocking Assi...

2020-04-14 23:43:48 1168

原创 Design-for-Testability(DFT)的基本知识点

Design-for-Testability(DFT)的基本知识点文章目录Design-for-Testability(DFT)的基本知识点基础知识1. CP和FT2. 测试工程师需要考虑什么3. 什么是DFTFault ModelFault class hierarchyDFT MethodsAd-hocScan:逻辑BISTBoundary ScanDFT-ScanD算法:Scannable...

2020-04-14 15:37:27 6274

原创 数字电路中latch的优缺点

缺点:latch是电平触发,无法实现同步操作,与我们正常的时序逻辑电路设计思路不符。latch会对输入电平敏感,受布线延迟影响较大,比较容易导致输出有毛刺产生。latch会导致静态时序分析和DFT会很复杂。在ASIC中使用latch的集成度比DFF高,但在FPGA中正好相反,因为FPGA中没有标准的latch单元,但有DFF单元,一个LATCH需要多个LE才能实现。好处:因为使用la...

2020-04-11 17:08:00 51

原创 利用latch进行 Timing borrow 改善setup/hold violation

Timing borrowhttp://www.mamicode.com/info-detail-2296344.htmlhttps://blog.csdn.net/cy413026/article/details/89404998http://bbs.eetop.cn/thread-488834-1-1.html?_dsign=5c11920d相关的原理和解释可以看下面两个文章:前...

2020-04-11 17:05:03 4326

原创 Batch Normalization 和 Batch Renormalization 前向和反向公式详细推导

Batch Normalization 和 Batch Renormalization 前向和反向公式详细推导文章目录Batch Normalization 和 Batch Renormalization 前向和反向公式详细推导一、BN前向传播二、BN的反向传播三、Batch Renormalization1、前向2、反向三、卷积网络中的BN一、BN前向传播根据论文‘’Batch Norma...

2020-04-10 22:10:59 458

转载 总线协议 -- AXI总线

> https://zhuanlan.zhihu.com/p/44766356

2020-04-10 21:38:45 340

ISCA2018.rar

ISCA是计算机体系结构领域最权威的会议之一,会议论文被公认为芯片行业发展的风向标,该资源内含ISCA2018年的论文合集

2020-05-07

探索perl的世界 讲义.rar

包含Perl语言的语法讲解,每章都配套有示例代码,包括了perl环境搭建教程,基本涵盖了perl语言的所有语法。

2020-05-07

常用定点数算术计算单元(verilog实现)

包含定点数常用算数逻辑单元,包含常用加法器,乘法器,除法器,都是有符号计算的。另外包含了testbench测试程序,全verilog实现

2020-05-07

IC设计专业英语词汇.rar

包含IC行业内常用的英语专业术语及词汇。内容涵盖IC设计前后端,芯片制造工艺,嵌入式soc,半导体器件等等

2020-05-07

CummingsSNUG2002SJ_FIFO1.pdf

异步FIFO经典论文,包含了异步FIFO设计整体架构思路,异步格雷码的处理,同步模块的设计等,还有相应的verilog 源码

2020-05-07

静态时序分析STA学习资料.rar

包含“静态时序分析(Static_Timing_Analysis)基础及应用.pdf“、“静态时序分析STA.pdf”、“系统设计中时钟、时序相关问题.pdf”

2020-04-21

火龙果开发板开发文档

火龙果开发板pdf说明文档以及原理图,火龙果板卡板载xilinx zynq芯片,适合嵌入式开发

2018-06-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除