自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(41)
  • 资源 (1)
  • 收藏
  • 关注

转载 特性阻抗公式 (含微带线,带状线的计算公式)

a.微带线(microstrip) Z={87/[sqrt(Er+1.41)]}ln[5.98H/(0.8W+T)] 其中,W为线宽,T为走线的铜皮厚度,H为走线到参考平面的距离,Er是PCB板材质的介电常数(dielectric constant)。此公式必须在0.1特性阻抗计算公式表面微带线的特性阻抗值较高并在实际中广泛采用,它的外层为控制阻抗的信号线面

2015-05-28 16:50:36 57981

转载 低电压锁定(UVLO)

低电压锁定(UVLO)UVLO就是低电压锁定; 低压关断. 欠压关断模式是当供电电压低于IC的开启门限电压时的一种保护模式。欠压关断模式可保证IC在供电电压不足时不致于被损坏。一个低电压锁定(UVLO)电路可确保IC在电池电压未达到安全操作电压前不会激活,UVLO的功能会展示滞后现象,以确保在电源供应上的噪音不会不慎导致系统故障。 uvlo的迟滞功能是防止电压在UVLO那一点的

2015-03-16 22:47:02 13395 4

转载 磁珠Ferrite Bead 与电感inductance 的区别

磁珠由氧磁体组成,电感由磁心和线圈组成,磁珠把交流信号转化为热能,电感把交流存储起来,缓慢的释放出去。磁珠对高频信号才有较大阻碍作用,一般规格有100 欧/100mMHZ ,它在低频时电阻比电感小得多。电感的等效电阻可有Z=2X3.14xf 来求得。铁氧体磁珠(Ferrite Bead) 是目前应用发展很快的一种抗干扰元件,廉价、易用,滤除高频噪声效果显著。在电路中只要导线穿过

2015-03-13 10:05:06 2215

转载 CONCEPT HDL和CAPTURE CIS的区别

Cadence 公司旗下有两个产品链,一个是IC产品,一个是PCB产品。PCB产品又分成PO系列和PS系列,PO就是Orcad系列,PS是高端系列,有的人称其为Allegro系列,其实并不准确。        Cadence公司在收购Orcad之前,它的原理图工具叫Concept HDL,PCB工具就是Allegro,也就是Allegro的PCB Editor,另外还有SI工具(做信号完整

2015-02-03 15:58:27 2092

转载 2013年中国30大IC设计公司销售排名

2013年中国30大IC设计公司销售排名(百万美元) 排名企业名称2013年收入1深圳市海思半导体有限公司21002展讯通信有限公司10503大唐半导体设计有限公司3964锐迪

2014-10-11 21:38:55 2072

转载 Verilog中的任务与函数【已改正源地址代码中的若干错误】

任务和函数有助于简化程序,有点类似与Fortran语言的subroutine和function。任务和函数的共同点:1.任务和函数必须在模块内定义,其作用范围仅适用于该模块,可以在模块内多次调用。2.任务和函数中可以声明局部变量,如寄存器,时间,整数,实数和事件,但是不能声明线网类型的变量。3.任务和函数中只能使用行为级语句,但是不能

2014-10-11 10:30:18 1314 3

转载 verilog语法学习心得

verilog语法学习心得1.数字电路基础知识: 布尔代数、门级电路的内部晶体管结构、组合逻辑电路分析与设计、触发器、时序逻辑电路分析与设计2.数字系统的构成: 传感器  AD  数字处理器  DA  执行部件3.程序通在硬件上的执行过程:     C语言(经过编译)-->该处理器的机器语言(放入存储器)-->按时钟的节拍,逐条取出指令、分析指令、执行指令4.D

2014-10-11 09:27:55 1348

转载 Verilog中Task语句可综合设计实例

此程序在Xilinx ISE综合工具中实现了综合和布局布线后仿真,功能正确。感兴趣的可以一起讨论研究。`timescale 1ns / 1psmodule TaskLearn(clk , reset , signal);input clk , reset;output reg signal;reg [3:0]counter;reg [3:0]state;

2014-10-10 21:51:27 1698 1

原创 A%5

设A为8位整型。A=A[7:0

2014-10-10 16:41:03 466

转载 FPGA面试宝典

FPGA面试宝典(转)这段时间去面试了几家公司,发现比较大的公司相对于重视基础问题。这里边又有几个问题特别的突出。他们是:同步时钟设计、亚稳态、异步FIFO。可以说,这些个问题要是弄清楚了,就至少满足了技术方面1/3的要求,另外的2/3是什么,我就说不清楚了。又有人发了竞争冒险毛刺的问题,不过,对于采用同步设计方法的系统,这些问题一般不会遇到。下面就谈谈我对这些问题的看法,要是你觉得看这些

2014-10-07 12:13:53 1016

转载 FPGA大公司面试笔试数电部分

FPGA大公司面试笔试数电部分1:什么是同步逻辑和异步逻辑?(汉王)同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 答案应该与上面问题一致〔补充〕:同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入 x 有无变化,状态表中的每

2014-10-05 20:06:17 3374

转载 同步FIFO的Verilog实现

同步FIFO的Verilog代码 之一在modlesim中验证过。/******************************************************A fifo controller verilog description.******************************************************/m

2014-09-29 20:19:27 2713

转载 异步FIFO的Verilog代码 DCFIFO实现

module fifo1(rdata, wfull, rempty, wdata, winc, wclk, wrst_n,rinc, rclk, rrst_n);parameter DSIZE = 8; parameter ASIZE = 4;output [DSIZE-1:0] rdata;output wfull;output rempty;input

2014-09-29 19:09:48 3946

转载 高速信号和高频信号的区别

这是一个很基础的问题,但是能说的既明白又能得到大家认可的,恐怕没有几个,高频信号通常只有一条,高速信号通常是指多条时序和频率要求较高的信号。高速信号:传输速率比较高的数字信号. 高频信号:传输频率比较高的模拟信号.一个频率不高的方波,如果边沿非常陡的话,在信号完整性里应该把它当高速来看。因为它所包含的频率成分里有出乎你意料的高频成分。这就是为什么在做电路的时候不要一味的

2014-09-25 20:51:40 11287 1

转载 同步FIFO实现

用verilog实现两种同步FIFO的方法,非常适合初学者理解时序的控制过程和方法!理解了这个东西,很多verilog的实现方法和思想你会有一个深入的理解的!慢慢积累呀!另一种风格的同步FIFO//8×32bit的同步FIFOmodule FIFO_Buffer(  Data_out,  stack_full,  stack_almost_full,

2014-09-25 12:29:23 1165

转载 单口RAM、伪双口RAM、双口RAM与FIFO的区别

FPGA设计中,常用到的数据缓存IP有FIFO和RAM,其中RAM又分单口RAM、伪双口RAM、双口RAM。       单口与双口的区别在于,单口只有一组数据线与地址线,因此读写不能同时进行。而双口有两组数据线与地址线,读写可同时进行。FIFO读写可同时进行,可以看作是双口。       双口RAM分伪双口RAM(Xilinx称为Simple two-dual RAM)与双口RAM(Xi

2014-09-25 10:20:00 1853

转载 异步双口RAM的综合【异步Dual Port RAM(DPRAM)】

异步双口RAM的综合    我写了一个异步的FIFO,里面包括一个异步双口RAM,用ModelSim仿真完全正确,但用Synplify综合时(选用Cyclone器件),却把RAM综合成了一大堆的LUT,无论怎么改都没法综合成Cyclone器件内的Block RAM。即使在Synplify里加了约束条件,仍然无济于事。    现在发现问题主要是出在异步时钟上,读和写用同一个时钟能综合成B

2014-09-25 09:57:48 5716

转载 定点DSP与浮点DSP的比较(定点DSP和浮点DSP的定义)

声明:本文章系转载并稍加整理标注,可能对于入门级的dsp开发者有所帮助。本文关注定点dsp与浮点dsp的对比,感谢我所引用的资料的作者。定点dsp与浮点dsp的比较(1)DSP数字信号处理器是一种特别适合于进行数字信号处理的微处理器,主要用于实时快速地实现各种数字信号处理算法定点运算DSP数字信号处理器在应用中已取得了极大的成功,而且仍然是DSP应用的主体。然而,随着对DSP处理速度与精度

2014-09-19 11:16:12 14725

转载 DSP和通用处理器在结构上有什么不同?

■请简要画出你熟悉的一种DSP结构图

2014-09-19 11:06:07 5869

转载 IIR与FIR基础知识

--------------------------------------------------------------------------------------------------1.两种滤波器都是数字滤波器。根据冲激响应的不同,将数字滤波器分为有限冲激响应(FIR)滤波器和无限冲激响应(IIR)滤波器。对于FIR滤波器,冲激响应在有限时间内衰减为零,其输出仅取决于当前和过

2014-09-15 21:28:56 1247

转载 15道使用频率极高的基础算法题

15道使用频率极高的基础算法题:1、合并排序,将两个已经排序的数组合并成一个数组,其中一个数组能容下两个数组的所有元素;2、合并两个已经排序的单链表;3、倒序打印一个单链表; 4、给定一个单链表的头指针和一个指定节点的指针,在O(1)时间删除该节点;5、找到链表倒数第K个节点;6、反转单链表;7、通过两个栈实现一个队列;8、二分查找;9、快速排序;10、

2014-08-23 17:33:14 308

转载 用位运算实现两个整数的加减乘除运算

位运算的思想可以应用到很多地方,这里简单的总结一下用位运算来实现整数的四则运算。1.整数加法[cpp] view plaincopyint Add(int a,int b)    {        for(int i = 1; i; i         if(b & i)                        

2014-08-23 15:36:32 480

转载 单片机通信方式——串行通信(同步、异步)、并行通信

单片机各种通信方式的特点和主要应用场合串口用的比较多:RS232,用于与标准的RS232设备通讯网卡,用于互联网或采用网卡端口的设备通讯I2C,用于单片机自己外设或多个单片机之间通讯CAN,工业标准,汽车中常用 并口:并口就是直接将数据输入或输出,多少位数据就要用多少根线,此外还要加上控制线2根以上。例如8位的数据通讯,至少用10根线。由于单片机的引脚数目有限,

2014-08-22 21:24:41 15554

转载 香农公式

香农定理指出,如果信息源的信息速率R小于或者等于信道容量C,那么,在理论上存在一种方法可使信息源的输出能够以任意小的差错概率通过信道传输。该定理还指出:如果R>C,则没有任何办法传递这样的信息,或者说传递这样的二进制信息的差错率为1/2。可以严格地证明;在被高斯白噪声干扰的信道中,传送的最大信息速率C由下述公式确定:C=B*log₂(1+S/N) (bit/s)该式

2014-08-22 20:57:43 9081

转载 dBm=10lg(功率值/1mW)

一信源输出功率为10W,用dBm表示应为多少。 一信源输出功率为10W,用dBm表示应为多少。此信号经一50米长馈线(损耗为10dB/100米)后,输出信号强度应为多少?此信号再经过一增益为7dBi天线后,信号输出强度为多少?答:10w=(10*log(10w/1mw))dBm=40dBm线损50m*10dB/100m=5dB天线

2014-08-22 20:50:47 5270 1

转载 TCP/IP OSI各层协议,ARP属于哪一层的协议

在OSI模型中ARP协议属于链路层;而在TCP/IP模型中,ARP协议属于网络层。

2014-08-22 20:31:06 89803 3

转载 前后台系统 多任务运行 状态机实现

#include #include #include /*********************全局变量区********************/volatile unsigned char Temp_Flag = 0; //温度采集标志位volatile unsigned char Key_Flag  = 0; //键盘扫描标志位volatile unsign

2014-08-22 10:14:59 4987

转载 找工作期间看到的一些题目及解答

示波器:oscilloscope (OSC)万用表:multimeter

2014-08-22 09:56:07 777

转载 通过编译器执行程序时需要经过哪4步?

通过编译器执行程序时需要经过哪4步?◆ 预处理(Pre-Processing)◆ 编译(Compiling)◆ 汇编(Assembling)◆ 链接(Linking) 二 编译过程中各种文件介绍 1.以扩展名区分文件类型.c为后缀的文件,C语言源代码文件;

2014-08-22 09:35:07 2668

转载 (Interrupt Latency) 中断延迟

(Interrupt Latency) 中断延迟是指从硬件中断发生到开始执行中断处理程序第一条指令之间的这段时间。也就是:计算机接收到中断信号到操作系统作出响应,并完成换到转入中断服务程序的时间。不严格地,也可以表述为:(外部)硬件(设备)发生中断,到系统执行中断服务子程序(ISR)的第一条指令的时间。另外,如果尽可能严格地考虑问题,那么还要考虑下列情况:

2014-08-22 09:29:43 1533

转载 建立时间和保持时间不满足如何解决

自己编写SDC文件进行约束。

2014-07-27 20:20:27 18627

转载 typedef interrupt void (*PINT)(void);

函数型指针        一个函数被执行时,在程序空间中占据一定空间,这个空间的起始地址是用函数名来表示的,称为函数的入口地址。也可以用指针指向这个入口地址,并通过该指针变量来调用这个函数。这种指针变量称为函数型指针变量,其一般形式为: 数据类型标识符 (*指针变量名) () ; 例如:int (*f)( ); 上式定义了指针f, f指向的函数返回整型类数据。注意其中(*f)中的括

2014-07-27 19:35:58 1979 1

转载 C语言中void类型和其它类型之间的转换

C语言中void类型和其它类型之间的转换  1.概述  许多初学者对C/C++语言中的void及void指针类型不甚理解,因此在使用上出现了一些错误。本文将对void关键字的深刻含义进行解说,并详述void及void指针类型的使用方法与技巧。  2.void的含义  void的字面意思是“无类型”,void *则为“无类型指针”,void *可以指向任何类型的数据。

2014-07-27 19:00:51 3678

原创 DSP28335代码段(.text段)太长,导致无法对程序在片上SARAM中在线仿真的解决办法 ——在.cmd中修改.text段的分配语句

修改28335_RAM_lnk.cmd将.text            : > RAML1,     PAGE = 0修改为:.text            : {*(.text)}>> RAML1 | RAML2 |RAML3,     PAGE = 0

2014-07-27 11:00:40 2237

转载 代码段(.text段)太长,导致无法在线仿真的解决办法 ——拆分.text段

代码段(.text段)太长,导致无法在线仿真的解决办法——拆分.text段 DSP2812的代码被编译器放在.text段中,当代码越长时,.text段就越大。而一个段只能放在一块连续的RAM空间中。2812较大的RAM空间有2块,一块是L0L1,长度8kw(8K×16位),另一块是H0,长度也是8kw(8K×16位)。如果代码非常长,以至于.text段大到超过8kw(8K×16位),超

2014-07-27 10:53:51 1919

转载 DSP2833x_Headers_nonBIOS.cmd

/*//###########################################################################//// FILE:    DSP2833x_Headers_nonBIOS.cmd//// TITLE:   DSP2833x Peripheral registers linker command file //

2014-07-26 18:01:58 3993

转载 F28335.cmd

/*//###########################################################################//// FILE: F28335.cmd//// TITLE: Linker Command File For F28335 Device////#################################

2014-07-26 15:30:40 1024

转载 28335_RAM_lnk.cmd

/*//###########################################################################//// FILE:    28335_RAM_lnk.cmd//// TITLE:   Linker Command File For 28335 examples that run out of RAM////

2014-07-26 15:29:07 4415 1

转载 _c_int00 DSP

关于DSP的 _c_int00 问题_c_int00 是c语言程序的入口。首先上电后,系统执行reset矢量代码,该代码是我们写的话,我们就令cpu转到c_int00,这段程序为c语言运行作准备,准备工作做好后,就转到c语言的main()。如果reset矢量代码不是我们写的,是ti写的,那么就执行bootloader程序,该程序先将从某个外部得到的真正程序放在规定的地方,然后转过去执行该代

2014-07-26 10:56:44 6468

转载 DSP28335中RAM空间不够的解决方法

因为DSP中RAM主要是用来存储数据,但是当使用FFT算法,小波算法等时会遇见数据量太大,内部RAM空间根本不够,这个问题的解决方法有两种:1、如果数据时const类型,可以定义在flash里面;2、外扩SRAM。外扩SRAM后,怎么使用呢?1、在CMD文件中定义一段数据空间,如:Zone7Data :origin=0x25ffff,length=0x02000Zone7D

2014-07-26 10:55:38 6004 1

Verilog HDL那些事代码——永远的流水灯

Verilog HDL那些事代码,永远的流水灯

2012-06-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除