自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 资源 (5)
  • 收藏
  • 关注

转载 noc总线介绍

01—背景 NoC(Network on Chip)片上网络,目的是为片上系统SoC提供高效通信方式。SoC的通信一般基于总线BUS结构,但随着芯片内部集成IP数量和种类越来越多,此时各处理器(包括同构或异构处理器内核)和IP之间的互联成为了SoC综合性能的关键。 一般来说,核数小于等于8个的处理器被称为多核处理器,具有更多核数的处理器被称为众核处理器。高效众核处理器的SoC设计面临着三个关键挑战: 并行编程模式层:通常应用程序开发者希望使用隐藏硬件实现的编程模式,即软...

2021-07-29 16:58:32 8571

转载 Python读取文件内容为字符串的方法(多种方法详解)

Python读取文件内容为字符串的方法(多种方法详解)更多python教程请到:菜鸟教程https://www.piaodoo.com/以下笔记是我在 xue.cn 学习群之数据分析小组所整理分享的心得。相关背景是:我选择中文词频统计案例作为考察大家python基础功掌握程度。以小见大,下面是2个小技能的具体实战:如何灵活地处理文件读取 如何把数据处理为自己想要的数据类型方法1:拷贝文章时,直接把内容赋值给一个变量,保存到一个 .py 文件中。然后在脚本中,导入它。...

2021-01-30 23:42:32 5874

翻译 2020-12-11

瞬间打开Verdi转载2018-02-12 22:49:31标签:verdivcskdb提升verdi速度VCS和Verdi是一对好基友,二者相生相伴N多年。VCS为编译仿真工具,Verdi则为查看Waveform的工具,就这样愉快的Cowork着。VCS和Verdi能正常工作的前提是,必须存在filelist(list出了所有用到的RTL),VCS​对该filelist中的file进行编译,然后再进行仿真,顺带生成波形文件(xxx.fsdb)供Verdi打开,看到这里Verdi貌似只...

2020-12-11 17:05:01 1725

原创 ssh 免密登录git

解决方案:1、修改密码:使用命令ssh-keygen -p 然后修改密码的时候直接回车,也就是把密码置空。2、重新生成ssh key, 用ssh-keygen -t rsa -C "[email protected]"其中,问你是否覆盖 输入y —— Overwrite (y/n)? y其余全部回车,千万不要在Enter passphrase (empty for no passphrase)输入密码了。再把公钥复制下放到github或者其他托管平台上。...

2020-07-18 20:48:55 205

转载 verdi

首发于跟IC君一起学习集成电路写文章Verdi使用技巧——非连续有效信号量测方法IC君公众号 icstudy 数模混合设计工程师10 人赞同了该文章IC君的第42篇原创文章 (欢迎关注公众号 icstudy ,顺便在微信文章中点点广告)上一篇文章IC君跟大家分享了Verdi使用技巧——连续有效信号量测方法。有不少网友在文章后留言或者微信给我留言,提供了一些其它方法。不得不说广大人民群众的智慧才是无穷无尽的,大家一起交流才能取得更大的进步!首先补充一下其他网友给我提供的

2020-06-09 18:36:30 3428 1

转载 UART UVM验证平台平台搭建总结

UART UVM验证平台平台搭建总结 tb_top是整个UVM验证平台的最顶层;tb_top中例化dut,提供时钟和复位信号,定义接口以及设置driver和monitor的virual interface,在intial中调用run_test() UVM入口函数。在基于uvm_test扩展出base_test,根据测试用例再基于base_test扩展出各种各样的test.在扩...

2020-02-25 18:29:50 3088

转载 uvm的sequence

uvm的sequence1,每个sequence都有一个body任务。当一个sequence启动后,会自动执行sequence的body任务,所以在sequence的class中,一定要有一个名为body的task。此外,如果一个sequence中,还有pre_body与post_body,则这两个task,会分别在body的task前面与后面执行。2,sequence的源码中,没有b...

2020-02-25 18:27:42 453

转载 UVM Sequencer 启动方式

方法有三:通过sequnce.start的方式启动 通过`uvm_do系列宏来启动 通过default sequence来启动下面简单地展开说明.通过sequence.start方式启动:start方法是“uvm_seuquence_base”类中的一个task。原型为:virtual task start (uvm_sequencer_base sequencer,  ...

2020-02-25 18:08:16 400

转载 UVM phase的用法研究

立志爱上科研Smart is the new SexyUVM phase的用法研究【zz】原文地址:http://bbs.eetop.cn/viewthread.php?tid=383872&extra=&authorid=828160&page=1我相信很多朋友都在坛子里下载过一份《UVM1.1应用指南和源代码分析》的资料,我很佩服这位前辈,我也从中收益...

2020-02-25 12:42:47 1121

转载 UVM基础之------uvm phases机制

UVM基础之------uvm phases机制代码的书写顺序会影响代码的实现,在不同的时间做不同的事情,这是UVM phase的设计哲学,UVM phase提供了一个通用的TB phase 解决方案。支持显示的隐式的同步方案,运行时刻的线程控制和跳转。只要把代码填入对应的phase,这些代码就会自动执行。phase 的引入在很大程度上解决了代码顺序杂乱可能会引发的问题。它本质上是通过...

2020-02-25 12:39:21 840

转载 UVM中的phase执行顺序

UVM中的phase执行顺序Phase分类UVM中主要有两种phase,包括task phase和function phase。Task phase需要消耗仿真时间,但是function phase消耗仿真时间。其中function phase有8个,task phase有12个,其如下图所示:其中棕色背景为function phase,绿色背景为task phase。P...

2020-02-24 21:32:56 4384 1

转载 UVM启动的几个步骤

//下面这个步骤不是唯一的。但应该还算比较规范。没有考虑virtual sequence(1)在顶层top_tb中run_test()。这个之前不能有任何延时,否则会有UVM_FATAL(2)有两种方式启动sequence(2.1)让平台自动启动:在自定义的test_case(不是test_base)的build_phase中,调用config_db,设置default_sequenceu...

2020-02-24 21:29:01 3536

转载 UVM基本概念

1.为什么在TOP里面uvm_config_db set的时候都需要用到uvm_test_top,它针对不同的case产生的实例名字是一样的吗?是一样的。对于+UVM_TESTNAME=example_case_(0…n),UVM验证平台会创建一个example_case_(0…n)的实例,他们的实例有一个共同的名字:uvm_test_top,所以你在top中congig_db的时候都会自上而...

2020-02-23 12:23:05 2806

原创 linux管道命令后用什么可以把前面的输出存成文件

find / | xargs grep function 查找系统根目录下面的所有文件的内容中包含有function字符串的文件列表。 find .|xargs grep x find . -exec grep x{} \; find / -name "httpd.conf" find / -name "rsync" 为什么会这样能,因为通道命令符是把上...echo aaaa|tee fil...

2020-01-28 20:03:47 1049

转载 Grep 高效用法实战总结 - 运维笔记

博客园 首页 新随笔 联系 管理 订阅随笔- 556 文章- 37 评论- 778Grep 高效用法实战总结 - 运维笔记grep(global search regular expression(RE) and print out the line,全面搜索正则表达式并把行打印出来)是一种强大的文本搜索工具,能使用正则表达式搜索文本,并把匹配的行打印出来。grep...

2020-01-28 20:00:35 850

转载 GVIM关闭菜单栏

在Windows下Gvim对应的配置文件一般位于$HOME\_gvimrc目录下,而Linux下位于$HOME/.gvimrc,编辑该配置文件,添加以下内容:"Toggle Menu and Toolbarset guioptions-=mset guioptions-=Tmap <silent> <F2> :if &guioptions =~# ...

2020-01-18 12:01:01 937 2

转载 实用脚本

1. awk:在文件或者字符串中基于指定规则浏览和抽取信息。(awk使用空格作为default域分隔符)for example: cat ./gfosd_1/MCUrd_out.v | awk '{print $1, $2}' > pattern_crc将第一列和第二列的打印输出到pattern_crc,如果是某文件有很多列,但只需要比对某些列的话,这样提取完后方便进行比对。...

2019-12-14 15:53:40 143

转载 GVIM 查找替换操作

前言正则表达式是文本处理领域中的一个强大的工具,它可以让文本处理的能力呈指数级的提升,如果一款文本编辑器不支持正则表达式,那么它就算不上是一个现代化的编辑器,这绝非虚言。下面这篇文章主要跟大家介绍了关于Vim查找替换及正则表达式使用的相关内容,分享出来供大家参考学习,下面来一起看看吧。简单替换表达式:[range]s/from/to/[flags]range:搜索范围,如果没有...

2019-12-13 22:19:24 4028

转载 CUDA优化干货

CUDA优化第一要义是设计block和thread的dimension,这时active block和active warp就必须要考虑了。首先通俗地讲一些CUDA优化的常识吧。accurancy:只在关键步骤使用双精度,其他部分仍然使用单精度浮点以获得指令吞吐量和精度的平衡;建议将双精度放在CPU里计算。异构并行会给项目加分。latency:降低延迟需要考虑缓冲,考虑进程间通信,block之...

2019-11-09 22:48:49 493

原创 CUDA

GPU上线程没有优先级概念,所有线程机会均等,线程状态只有等待资源和执行两种状态,如果资源未就绪,那么就等待;一旦就绪,立即执行线程并行是细粒度并行,调度效率高;块并行是粗粒度并行,每次调度都要重新分配资源,有时资源只有一份,那么所有线程块都只能排成一队,串行执行。流可以实现在一个设备上运行多个核函数。前面的块并行也好,线程并行也好,运行的核函数都是相同的(代码一样,传递参数也一样)。而流...

2019-11-09 22:40:38 945

原创 如何用verilog写testbench

  1.激励的设置相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi_dir_port;wire [0:

2011-06-12 22:01:00 6744

CPU设计实践-全套源代码完美复现CPU设计精华

里面手把手从零如何设计一款CPU,配合书本学习效果更好

2023-10-22

芯片设计进阶之路—Reset深入理解.pdf

深入讲解reset

2021-07-30

html经典教程(珍藏版)

精华版的html教程,有此一本 别无他求!!!

2008-07-01

经典的j2ee笔记

经典的j2ee笔记,个人收藏噢

2008-07-01

DRP培训资料

关于DRP项目的说明

2008-07-01

软件工程师学习笔记J2EE

非常经典的j2ee学习笔记,不下后悔

2008-06-30

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除