自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(113)
  • 资源 (5)
  • 收藏
  • 关注

转载 FFT(快速傅里叶变换)中频率和实际频率的关系

一 四个名词:实际物理频率,角频率,圆周频率,归一化频率,数字频率,模拟频率1、实际物理频率表示AD采集物理信号的频率,fs为采样频率,由奈奎斯特采样定理可以知道,fs必须≥信号最高频率的2倍才不会发生信号混叠,因此fs能采样到的信号最高频率为fs/2。2、角频率是物理频率的2*pi倍,这个也称模拟频率。(注:由于一个信号周期(如交流电)是360度,即2pi。故角频率就是转了多少个2pi。设置角频率纯粹为了便于计算。)3、归一化频率是将实际物理频率按fs归一化之后的结果,最高的信号频率为fs

2021-01-22 17:01:13 5141

翻译 MATLAB函数 zp2tf详解

zp2tf:将零极点增益滤波器参数转换为传递函数的形式语法格式:[b,a] = zp2tf(z,p,k)例如:[b,a] = zp2tf(z,p,k)将单输入/多输出(SIMO)系统的分解式传递函数表示 :转换为多项式传递函数表示:...

2021-01-04 22:30:03 11690 2

转载 RTL仿真,前仿真,后仿真的区别

初学者学习FPGA,必定会被它的各种仿真弄的晕头转向。比如,前仿真、后仿真、功能仿真、时序仿真、行为级仿真、RTL级仿真、综合后仿真、门级仿真、布局布线后仿真等。  Quartus和Modelsim软件的仿真形式  Quartus II有两种仿真形式:1、功能仿真;2、时序仿真。  Quartus II调用Modelsim的两种仿真形式为:1、RTL级仿真;2、Gate-level仿真。  以下内容均经过资料查证,详细如下:  理解方法一  当用quartus进行仿真时,分为功能仿.

2020-09-13 20:56:40 17999 4

原创 vivado 中的增量编译以及用法详解

1、增量编译是VIVADO中的一项技术,即Incremental Compile,它是是Vivado提供的一项高阶功能。目的旨在当设计微小的改变时,重用综合和布局布线的结果,缩短编译时间。增量编译的流程如下所示: 增量编译需要已经编译完成的原始设计的dcp文件作为参考,当我们在原始设计上做微小的改动时,就可以使用增量编译的流程。这些改动包括:- RTL代码的微小修改- 网表的微小修改,比如增加...

2020-09-08 10:20:10 14264

原创 vivado中的OOC技术

一、什么是OOCOOC(Out-of-context)是Vivado提供的一项技术,选择将HDL对象当作一个隔离模块运行,完成自底向上的综合流程。底层的OOC模块相对于顶层模块独立运行,并且拥有自己的约束集合; 运行了OOC模块之后,再运行顶层模块综合时可以直接调用OOC综合结果,而不需要重新运行一次综合(除非修改了RTL设计或约束)。由于不需要每次综合时都运行整个设计,这项技术可以大大减少顶层模块的综合运行时间。IP核就是典型的采用OOC技术的代表,配置好IP核后可以选择综合模式为global

2020-09-02 22:04:42 4911 3

转载 verilog状态机以及编码详解

在Verilog中最常用的编码方式有:1、二进制编码(Binary)2、格雷码(Gray-code)编码3、独热码(One-hot)编码 二进制码和格雷码是压缩状态编码。 若使用格雷编码,则相邻状态转换时只有一个状态位发生翻转,这样不仅能消除状态转换时由多条状态信号线的传输延迟所造成的毛刺,又可以降低功耗。 二进制编码也可称连续编码,也就是码元值的大小是连续变化的。 如S0=3'd0,S1=3'd1,S2=3'd2,S3=...

2020-09-01 11:55:29 1659

转载 DDR3之带宽、位宽和频率使用(MIGIP核里面的时钟结构)

一、如何确定DDR3芯片的带宽、位宽和最大IO时钟频率这里以芯片Part Number :MT41J256M16RH-125:E为例,打开芯片的数据手册,会找到如下所示的介绍: IO时钟频率:根据Part Number 中的“-125”我们就可以找到图中的“1”,根据这里tCK = 1.25ns,就可以算出芯片支持的最大IO时钟频率:1/1.25ns = 800Mhz;此处的IO时钟频率也就是DDR3的频率;位宽:根据Part Number 中的“2...

2020-08-24 21:27:05 14369 8

转载 史上最细的FIFO最小深度计算,(大多数笔试题中都会涉及)

转载地址:https://www.cnblogs.com/dxs959229640/p/8144656.html作者:星雨夜澈出处:http://www.cnblogs.com/dxs959229640/数字IC设计中我们经常会遇到这种场景,工作在不同时钟域的两个模块,它们之间需要进行数据传递,为了避免数据丢失,我们会使用到FIFO。当读数据的速率小于写数据的速率时,我们就不得不将那些还没有被读走的数据缓存下来,那么我们需要开多大的空间去缓存这些数据呢?缓存开大了会浪费资源,开小了会丢失数据,..

2020-08-16 15:04:32 2629

原创 彻底下载32位office2010

https://jingyan.baidu.com/article/60ccbceba6bce664cab197b8.html

2020-06-27 15:30:47 800

原创 XILINX ZYNQ7100 的上电顺序

PS Power-On/Off Power Supply Sequencing建议的上电顺序为VCCPINT,然后是VCCPAUX和VCCPLL,然后PS VCCO提供(VCCO_MIO0,VCCO_MIO1和VCCO_DDR)以实现最小电流消耗并确保I / O上电时为3态。在上电序列中,要求将PS_POR_B输入置为GND。直到VCCPINT,VCCPAUX和VCCO_MIO0达到最低操作级别,才能确保PS eFUSE完整性。有关PS_POR_B时序要求的其他信息,请参阅“复位”。推荐.

2020-05-19 16:41:14 4523 2

转载 launch edge 和 latch edge 延迟以及静态时序分析相关概念

launch edge和latch edge分别是指一条路径的起点和终点,只是一个参考时间,本身没有什么意义,latch_edge-launch_edge才有意义。1. 背景静态时序分析的前提就是设计者先提出要求,然后时序分析工具才会根据特定的时序模型进行分析,给出正确是时序报告。  进行静态时序分析,主要目的就是为了提高系统工作主频以及增加系统的稳定性。对很多数字电路设计来说,提高工作频率非常重要,因为高工作频率意味着高处理能力。通过附加约束可以控制逻辑的综合、映射、布局和布线,以减小逻辑和布

2020-05-17 20:13:30 3574

原创 vivado中FIFO IP核的Standard FIFO和First-word-Fall-Through模式的仿真比较

1、Standard FIFO与First-word-Fall-Through(简称FWFT) 在vivado中例化fifo的IP核的时候,在native ports部分有两种模式可以选择,如下图所示:这两种模式的主要区别是:当选择Standard模式的时候,在读使能信号有效的下一个周期才能读出第一个有效的数据;当选择Standard模式的时候,在读使能信号有效的第一个周期就能能读出第一个有效的数据;这是因为在这种模式下,FIFO提前把数据已经准备到了数据输出总线上,等待都...

2020-05-17 13:38:51 13986 5

原创 verilog读入.txt的有符号十进制数,把有符号十进制数写入到.txt文件中

在进行功能仿真时,经常需要使用外部的数据作为输入,而数据经常存放在.txt,.dat等文本文件中;本文介绍一种从.txt中读取有符号十进制数的方式和写入有符号数到.txt文件的方式:代码如下:一、从.TXT中读取有符号十进制数:reg signed [8:0] dataa1[1:784];reg signed [8:0] datab[1:36];reg signed [8:0...

2020-05-06 16:01:36 5809 1

原创 verilog中的定点数、浮点数、定点小数、定点整数的表示及运算

1、定点数: 顾名思义定点数就是小数位固定不变的数叫做定点数,也就是小数点是定在某个位置不变的数。2、定点数的分类: (1)定点整数:定点整数的小数点后面没有其他的数值,即小数点定在了数的最后面 定点整数又分为以下两类: ...

2020-05-05 12:14:55 32205 6

翻译 MATLAB IIR滤波器设计函数buttord与butter

设计巴特沃斯IIR滤波器可使用butter函数和buttord函数。第一:使用buttord函数先求得最小阶数和截止频率:[n,Wn]= buttord(Wp,Ws,Rp,Rs)。理解:上式中n代表滤波器阶数,Wn代表滤波器的截止频率,这两个参数可使用buttord函数来确定。简单来说,就是在Wp处,通带内波纹系数或者说是通带内达到最大衰减为Rp,如(3db),而在Ws处,阻带达到最小衰减为R...

2020-04-21 11:44:04 34325 6

转载 电路设计中的阻抗匹配

一、什么是阻抗匹配 相信大家在电路原理中都学到过,某个电路的负载电阻多大时,其输出功率才是最大; 阻抗匹配是指负载阻抗与激励源内部阻抗互相适配,得到最大功率输出的一种工作状态。对于不同特性的电路,匹配条件是不一样的。  在纯电阻电路中,当负载电阻等于激励源内阻时,则输出功率为最大,这种工作状态称为匹配,否则称为失配。 阻抗控制在硬件设计中是...

2020-04-18 21:12:50 12114

转载 FIR滤波器工作原理及实现过程介绍

引言在现代电子系统中,到处都可以看到数字信号处理( DSP )的应用,从MP3播放器、数码相机到手机。DSP设计人员的工具箱的支柱之一是有限脉冲响应( FIR )滤波器。FIR滤波器越长(有大量的抽头),滤波器的响应越好。然而这里有折衷的情况,由于大量的抽头增加了对逻辑的需求、增加了计算的复杂性,增加了功耗,以及可能引起饱和/溢出。多相技术可以用于实现滤波器,拥有与传统FIR滤波器可比的结...

2020-04-17 20:07:25 37600 1

转载 高速收发器之8B/10B编码

前面文章说过,在高速链路中导致接收端眼图闭合的原因,很大部分并不是由于高频的损耗太大了,而是由于高低频的损耗差异过大,导致码间干扰严重,因此不能张开眼睛。针对这种情况,前面有讲过可以通过CTLE和FFE(包括DFE)均衡进行解决,原理无非就是衰减低频幅度或者抬高高频幅度,从而达到在接收端高低频均衡的效果。同时我们在前文还埋了个伏笔:隔了一段时间,不知道大家还记得我们这个约定吗?不管你们记不...

2019-12-27 21:09:12 703

转载 Critical Warning: Synopsys Design Constraints File file notfound: 'CMTT.sdc'. A Synopsys Design Cons

在使用quartus ii进行FPGA开发时,遇到如下警告信息: Critical Warning: Synopsys Design Constraints File file notfound: 'CMTT.sdc'. A Synopsys Design Constraints File is requiredby the TimeQuest Timing Analyzer to get ...

2019-12-06 11:16:25 12049 2

原创 VIVADO常见警告、错误及解决方法

1、综合中出现警告:[Synth 8-5788] Register Packet_header_reg in module RXDDSP is has both Set and reset with same priority. This may cause simulation mismatches.解决方法:在复位时将寄存器Packet_header_reg的初值设置为0;2、r...

2019-12-04 17:39:36 79905 19

转载 XILINX-DDR3IP核的使用

最近博主在根据例程做ddr3的读写测试,发现根本看不到好吧,虽然之前博主做过SDRAM的读写测试,但是ddr3更加复杂,时序写起来很吃力,所以需要用到vivado下自带的ip核。具体来看下面例化过程:1.在ip核下搜索mig 双击打开2.直接next 然后在当前界面修改你的ddr3ip核的名字这里博主是因为已经例化了ip核,所以名字不能修改,然后next3.这是要不要兼容芯片,不...

2019-12-01 22:49:02 1292 2

转载 DDR3内存详解,存储器结构+时序+初始化过程

转载DDR3内存详解,存储器结构+时序+初始化过程2017-06-17 16:10:33a_chinese_man阅读数 23423更多分类专栏:硬件开发基础转自:http://www.360doc.com/content/14/0116/16/15528092_345730642.shtml首先,我们先了解一下内存的大体结构工作流程,这样会比较容量理解这些参数在其...

2019-11-04 21:02:03 6327

转载 DDR工作时序

DDR SDRAM 全称为 Double Data Rate SDRAM,中文名为“双倍数据流 SDRAM”。DDR SDRAM 在原有的 SDRAM的基础上改进而来。下图是DDR和SDRAM的数据传输对比图图上可以清楚的看到,DDR SDRAM可在一个时钟周期内传送两次数据,上升沿传一次,下降沿传一次。1.DDR的基本原理先来看一张DDR读操作时序图从中可以发现它多了两个信号:C...

2019-11-04 15:44:19 603

转载 DDR扫盲

FROM:http://blog.chinaaet.com/justlxy/p/5100051912DDR的种类:1、DDR SDRAM:Double Data Rate Synchronous Dynamic Random Access Memory,双倍数据率同步动态随机存取存储器;2、DDR2 SDRAM:Double-Data-Rate Two Synchronous Dyna...

2019-11-04 15:38:21 519

原创 哈佛架构、冯诺依曼架构、指令集

1、CISC与RISC的区别:CISC(复杂指令集):复杂指令集就是CPU在工作的时候需要有很多的汇编指令来完成,它可以用一个汇编指令来完成一件复杂的工作。例如:乘法,加法,乘加,乘减等处理的时候,他会每个处理方式用一条指令来完成。因此这种设计实际上是很强大的,因为同样处理一个运算,它可以用一个机器周期就可以完成了。但是其内部的硬件设计就相对而言的比较复杂了一些。而且,这样的设计势必就会遭...

2019-10-30 11:32:02 2927 1

原创 ARM、DSP、FPGA的区别

https://blog.csdn.net/godloveyuxu/article/details/80027501DSP:DSP(digital singnal processor)是一种独特的微处理器,有自己的完整指令系统,是以数字信号来处理大量信息的器件。一个数字信号处理器在一块不大的芯片内包括有控制单元、运算单元、各种寄存器以及一定数量的存储单元等等,在其外围还可以连接若干存储器,...

2019-10-30 11:20:59 6768

原创 FPGA开发设计必经之路:时序分析

转载自:微信公众号:FPGA之家时序分析是FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些基本概念。时钟的时序特性主要分为抖动(Jitter)、偏移(Skew)、占空比失真(Duty Cycle Distortion)3点。对于低速设计,基本不用考虑这些特征;对于高速设计,由于时钟本身的原因造成的时序问题很普遍,因此必须关注。...

2019-10-16 09:58:34 1190

原创 MATLAB函数upsample的使用

upsampleIncrease sample rate by integer factor collapse all in pageSyntaxy = upsample(x,n)y = upsample(x,n,phase)Descriptionexampley = upsample(x,n) increases the sample rate of x by inserting...

2019-10-11 17:37:35 8190 1

原创 MATLAB函数downsample的用法详解

(一)、downsample 将采样率降低整数倍句法 y =downsample(x,n) y =downsample(x,n,phase) y = downsample(x,n)通过保留第一个样本,然后保留第一个样本后的第n个样本,来降低x的采样率。如果x是矩阵,则该函数将每一列视为单独的序列。 y =downsample(x,n,phase)指定偏移...

2019-10-11 17:33:54 32826

原创 MATLAB函数angle、unwrap

一、angle相位角语法 P =angle(Z) 描述 P = angle(Z)返回复数数组Z的每个元素的相角(以弧度为单位)。角度介于±π之间。 对于复数Z,幅值R和相角theta由下式给出 R =绝对值(Z) θ=角度(Z) 可以根据 Z = R. * exp(i * theta)转换回原始复数Z。 例子 矩阵元素的相角 创建一个复数值矩阵,并计算每个元素的相角。...

2019-10-11 12:08:52 8788

转载 模拟角频率和数字角频率的关系

概念:模拟频率f:每秒经历多少个周期,单位Hz,即1/s;模拟角频率Ω:每秒经历多少弧度,单位rad/s;数字频率w:每个采样点间隔之间的弧度,单位rad。数字频率与模拟频率相互转化:w=2*pi*f/fs解释我们通常所说的频率,在没有特别指明的情况下,指的是模拟频率,其单位为赫兹(Hz),或者为1/秒(1/s),数学符号用f来表示。这是因为现实世界中的信号大多为模拟信号,频率是...

2019-10-11 10:57:27 4001 1

原创 MATLAB函数freqz的使用

(一)、它是数字滤波器的频率响应(包括幅频响应和相频响应)(二)、主要的形式:[h,w] = freqz(b,a,n) [h,w] = freqz(sos,n) [h,w] = freqz(d,n) [h,w] = freqz(___,n,'whole') [h,f] = freqz(___,n,fs) [h,f] = freqz(___,n,'whole',fs) h =...

2019-10-11 10:08:10 29758 1

转载 基于Matlab中FDATool工具箱的滤波器设计及相关文件的生成

一、摘要  除了采用编程的方法实现滤波器之外,Matlab中自带工具箱FDATool也能很方便快速的实现滤波器的设计。另外FPGA、DSP等实现数字滤波器算法时,经常要用到滤波器系数,采用FDATool工具箱也能快速的得到滤波器系数。二、实验平台  Matlab7.1三、实现步骤3.1滤波器指标若需要设计一个16阶的FIR滤波器(h(0)=0),给定的参数如下:(1)...

2019-10-10 20:18:09 1792

转载 存储器的分类

转载:https://www.cnblogs.com/Forever-LJX/p/5807816.html1.存储器1.1 简介  存储器(Memory)是计算机系统中的记忆设备,用来存放程序和数据。计算机中全部信息,包括输入的原始数据、计算机程序、中间运行结果和最终运行结果都保存在存储器中。它根据控制器指定的位置存入和取出信息。有了存储器,计算机才有记忆功能,才能保证正常工作。1...

2019-09-25 11:17:45 1646

原创 触发器、锁存器、寄存器以及它们之间的区别

一、基本概念(1)锁存器 1. 锁存器(latch):是电平触发的存储单元,数据存储的动作(状态转换)取决于输入时钟(或者使能)信号的电平值,尽当锁存器处于使能状态时,输出才会随着数据输入发生变化。分为普通锁存器和门控锁存器: 2.普通锁存器无控制信号,输出状态始终直接由输入决定。 3.门控锁存器在控制信号无效时,输出保持不变,不随输入变...

2019-09-24 20:16:02 4537

转载 DDS信号发生器原理与经典DDS信号发生器设计方案

DDS信号发生器采用直接数字频率合成(Direct Digital Synthesis,简称DDS)技术,把信号发生器的频率稳定度、准确度提高到与基准频率相同的水平,并且可以在很宽的频率范围内进行精细的频率调节。采用这种方法设计的信号源可工作于调制状态,可对输出电平进行调节,也可输出各种波形。  DDS原理  在介绍DDS信号发生器原理之前我们先了解一下DDS原理。  若...

2019-09-22 15:24:51 39399 4

转载 功分器原理详解

一、什么是功分器  功分器全称功率分配器,英文名Power divider,是一种将一路输入信号能量分成两路或多路输出相等或不相等能量的器件,也可反过来将多路信号能量合成一路输出,此时可也称为合路器。一个功分器的输出端口之间应保证一定的隔离度。功分器按输出通常分为一分二(一个输入两个输出)、一分三(一个输入三个输出)等。功分器的主要技术参数有功率损耗(包括插入损耗、分配损耗和反射损耗)、各端口...

2019-09-18 22:25:35 32912

转载 建立时间和保持时间关系详解

图1 建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold time)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间,如果保持时间不够,数据同样不能被打入触发器。如图1。数据稳定传输必须满足建立和保持时间的要求,当然在一...

2019-09-18 11:34:51 2315

原创 verilog之按键消抖的理解

按键在按下时会产生抖动,释放时也会产生抖动,所以在设计键盘扫描程序时必须考虑按键的消抖,我们一般只考虑按下时的抖动,而放弃对释放时抖动的消抖。抖动时间一般为20ms左右。按下的最终结果是低电平。 按键去抖思路是:检测到按下时延时20ms,再检测,如果状态仍为按下,则确认是按下的;如果状态为弹起的,则确认是干扰,无按键按下。 假如rst_in 为一个按键,直接连接至FPGA里面的复位引...

2019-09-17 10:35:48 4956 3

原创 MATLAB函数fliplr

fliplr将数组从左向右翻转全页折叠语法B = fliplr(A)说明示例B = fliplr(A)返回A,围绕垂直轴按左右方向翻转其各列。如果A是一个行向量,则fliplr(A)返回一个相同长度的向量,其元素的顺序颠倒。如果A是一个列向量,则fliplr(A)只返回A。对于多维数组,fliplr作用于由第一个和第二个维度构成的平面。示...

2019-09-11 17:21:51 12874 1

802.11协议2020最新版

来自官网下载的协议最新版

2023-01-07

office安装助手.zip

使用该工具可以成功安装office

2021-03-03

fft_test.rar

此工程为自己调试FFTIP核的工程,输入为正弦波,用FFT核做傅里叶变换

2019-10-09

中芯国际.7z

改压缩包为中芯国际180nm数字与模拟资源压缩库,里面含有各种文件

2019-06-09

all_vivado_license.rar

XILINX VIVADO所有版本的许可证文件,亲测绝对可以使用,有效期至2037年

2019-05-15

匹配滤波matlab

运用matlab实现匹配滤波,文件中有匹配滤波的文档说明

2018-04-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除