自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

SCOTT 技术博客

专注于嵌入式、算法领域! 路漫漫其修远兮,吾将上下而求索!

  • 博客(144)
  • 资源 (4)
  • 问答 (1)
  • 收藏
  • 关注

原创 【Life】 Never Too Late, Just Do it Better!

2015-06-23 20:28:01 1386

原创 【学习资料】数学资源

大学数学类课程视频集锦       1.1《数学分析》:复旦,陈纪修,214集,151小时http://www.youku.com/playlist_show/id_3559597_ascending_1_mode_pic_page_1.htmlhttp://www.youku.com/playlist_show/id_3657450.html

2017-02-21 13:38:35 1217

原创 【图像处理】MATLAB图像处理_IPC图像处理流程

原来做这么长时间,自己的方向就错了,哎,郁闷!下面做一个项目流程图:

2017-02-21 13:32:35 1316

原创 【学习方法】FPGA开发

FPGA相关的一些好书籍:《Verilog数字系统设计教程(第二版)》 夏宇闻 《深入浅出玩转FPGA(内附光盘一张)》 吴厚航 这本书有视频教程《Altera FPGA/CPLD设计(基础篇)(第2版)》《Altera FPGA/CPLD设计(高级篇)(第2版)》选择ALTERA的器件可以看一下这两本《设计与验证Verilog HDL》 吴继华,王诚 这书不错,看电子版就好了《高级FPGA设计结构、实现

2016-11-27 12:47:19 1449

原创 【Linux shell】 Shell编程中的条件判断

比较实用,做个简单的记录,不需要死记。-b file            若文件存在且是一个块特殊文件,则为真 -c file            若文件存在且是一个字符特殊文件,则为真 -d file            若文件存在且是一个目录,则为真 -e file            若文件存在,则为真 -f file            若文件存在且是一个规则文件,则为真 -g file

2016-02-04 12:55:11 805

原创 【工作笔记】Git与Github常用用法

简单的总结下Git与Github的使用方法,方便以后的使用。。。本文记录了Github的大部分操作命令,可作为实际开发的参考。

2015-12-09 20:43:23 4133 2

原创 【Life】 生命之花凋零之前,你绽放了吗?灿烂吗?

【 努力,不是一天,不是一个月,不是一年,是一生,是坚持 】

2015-12-09 20:36:27 916

转载 【工作笔记】CCD和CMOS图像传感器的不同之处

CCD与CMOS传感器是当前被普遍采用的两种图像传感器,两者都是利用感光二极管(photodiode)进行光电转换,将图像转换为数字数据,而其主要差异是数字数据传送的方式不同。   如下图所示,CCD传感器中每一行中每一个象素的电荷数据都会依次传送到下一个象素中,由最底端部分输出,再经由传感器边缘的放大器进行放大输出;而在CMOS传感器中,每个象素都会邻接一个放大器及A/D转换电路,用类似内存

2015-11-13 08:57:18 1769

原创 【FPGA】Verilog状态机设计

状态机是fpga设计中极其重要的一种技巧,掌握状态机的写法可以使fpga的开发事半功倍。下面记录一下状态机的基本知识理论。// 一段式状态机

2015-10-21 10:20:20 24264 8

原创 【FPGA】FPGA错误汇总 ( 不断更新... )

1. 设计顶层测试文件时报错误!Started : "Behavioral Check Syntax".Determining files marked for global include in the design...Running vlogcomp...Command Line: vlogcomp -work isim_temp -intstyle ise -prj

2015-09-20 09:11:06 20260 2

原创 【FPGA】时钟分频设计

以前做的一些FPGA的一些简单设计,做个记录,比较基础。本文是讲述时钟分频电路设计,可以分为偶数分频和奇数分频;

2015-09-19 14:38:36 5587

原创 【图像处理】Gamma校正

Gamma校正可以提高图像的动态范围、是对比度拉伸的一种常用的算法;gamma 校正是指更改 gamma 值以匹配监视器的中间灰度Gamma 校正补偿了不同输出设备存在的颜色显示差异,从而使图像在不同的监视器上呈现出相同的效果。gamma 值为 1,对应一个“理想”监视器;也就是说,这个监视器具有从完美的白色通过灰色到黑色的连续线性渐变效果。然而,理

2015-09-19 08:46:32 4549

原创 【FPGA】always (*) 后代码全部显示注释字体的颜色之解决方法

ISE或UE等其他编辑器中, always (*) 后代码全部被注释。或者说显示注释的字体颜色,看起来不方便。

2015-08-26 09:44:05 4072 2

原创 【工作笔记】RAM和ROM种类与区别

道理很简单,以前就学过,但时间就了总是会忘记,这里同样截个图记录一下:

2015-08-08 08:59:07 3027

原创 【图像处理】人类视觉成像原理

感觉还不错,分享给大家。活到老,学到老!对于科学知识,我们人类应始终报以敬畏和学习的态度。

2015-07-09 11:42:36 8135

原创 【MFC】程序框架及基础知识

1. 首先,贴一个简单的Win32的Hello World程序,这是学MFC的基础。#include LRESULT CALLBACK WndProc(HWND, UINT, WPARAM, LPARAM);int WINAPI WinMain(HINSTANCE hInstance, HINSTANCE hPrevInstance,

2015-05-27 08:30:39 3163

转载 对比conv2 filter2 imfilter

-------------------------------------conv2函数----------------------------------------1、用法C=conv2(A,B,shape);        %卷积滤波复制代码A:输入图像,B:卷积核       假设输入图像A大小为ma x na,卷积核B大小为mb x nb,则

2015-04-07 19:08:12 4396

转载 拉普拉斯(laplacian)滤波实现图像锐化分析

先上个简单的示例,看MATLAB中拉普拉斯滤波器是如何实现的:令原图f=magic(3)f =     8     1     6     3     5     7     4     9     2掩膜采用标准Laplacian掩膜:w=fspecial('laplacian',0)w =     0     1     0     1

2015-03-22 22:25:04 44842 5

转载 extern "C"用法总结

经常看到如下代码:#ifdef _cplusplusextern "C" {#endif#include "XXX.h"#ifdef _cplusplus}#endif解释:extern "C"的作用是,告诉C++编译器,下面的代码按照C的方式进行编译,说白了,不要对这些函数进行名字重整(function name manglin

2015-03-12 20:01:49 10807 1

原创 【数据结构】递归算法—汉诺塔

汉诺塔的问题,也是一个经典的递归算法问题。下面是自己总结的一张整体流程图。。。

2015-03-10 21:05:41 6421

原创 【数据结构】递归算法—斐波那契数列

斐波那契数列,学过数学的都知道,就是1  1  2  3  5  8  13  21  34 。。。即每一项都是前两项的和。算法本身很简单,关键的是理解递归这种思想。

2015-03-10 21:00:17 2449

原创 【图像处理】一种基于RAW格式的图像自动白平衡算法

一种基于RAW格式的图像自动白平衡算法,在网上搜到的一篇论文;算法比较容易,适合新手入门。

2015-03-10 09:15:54 3564

原创 【OpenCv】OpenCv原始版本与新版本(2.4.10)的一个简单对比

OpenCv原始版本与新版本(2.4.10)的一个简单对比

2015-03-01 09:43:31 3510 2

原创 【数据结构】静态链表

数据结构之静态链表实现前言静态链表: 每个节点有一个数据域(data),用来存放有用的数据信息; 还有一个下标域(cur),用来指示下一个元素的下标位置。

2015-02-12 08:51:54 3593 2

原创 【嵌入式Linux+ARM】阶段性总结(Makefile & 链接文件)

阶段性总结:1.arm-linux-ldarm-linux-ld 的 -T 选项用来指定链接地址;

2015-02-07 14:34:31 1971

原创 【嵌入式Linux+ARM】存储控制器(操作SDRAM)

1.存储控制器介绍 s3c2440中的“存储管理器”提供了访问外部设备所需要的信号,有如下特性: 1.支持小字节序、大字节序(通过软件选择) 2.每个BANK为128M,一共有8个BANK,共1G

2015-02-07 14:30:55 2271

原创 【数据结构】链式存储单链表

数据结构之单链表的顺序存储实现//====================================================================== // // Copyright (C) 2014-2015 SCOTT // All rights reserved // // filename:

2015-02-02 08:46:54 1429

原创 【数据结构】顺序存储单链表

数据结构之 单链表的顺序存储实现闲来无事,回顾下以前学过的数据结构,写个玩玩.

2015-02-01 10:44:15 1719 1

原创 【嵌入式Linux+ARM】GPIO操作

1.GPIO介绍 GPIO(general purpose i/o ports)意思为通用输入/输出端口,通俗的说就是一些引脚。 我们可以通过它们输出高低电平 或 读入引脚的状态。

2015-01-21 14:32:43 5965

原创 【嵌入式Linux+ARM】硬件相关基础知识(门电路_UART_I2C_SPI)

嵌入式行业水很深,要学的东西很多。本文记录一些基本的硬件、相关的协议等知识,需要日后不断的完善与学习。

2015-01-20 16:54:47 1524

原创 MATLAB图像处理_同态滤波

同态滤波:利用广义叠加原理对同态系统进行滤波。同态滤波是把频率过滤和灰度变换结合起来的一种图像处理方法

2015-01-20 09:54:25 34795 29

原创 MATLAB图像处理_图像的白平衡算法(灰色世界法)

白平衡,即所谓的白色的平衡。白平衡的算法有很多,这里记录的是最简单实用的白平衡算法,即灰色世界法!

2015-01-19 09:05:11 11915 1

原创 MATLAB图像处理_感悟和总结

两个星期的图像处理研究学习差不多告一段落; 真的应了一句话,只有你接触某个领域,才真正可以体会到某个领域的魅力所在: 到目前为止

2015-01-16 14:54:56 9156

原创 【嵌入式Linux+ARM】ARM体系结构与编程(ARM汇编指令)

自己的一些简单的总结,也是最常用的ARM汇编指令,之后也会不断的补充完善。1. 汇编系统预定义的段名.text @代码段.data @初始化数据段.bss @未初始化数据段

2015-01-14 20:18:57 1518

原创 【嵌入式Linux+ARM】ARM体系结构与编程(ARM概述)

ARM体系结构与编程本文章记录一些看《ARM体系结构与编程》这一本书的记录;个人觉得,学习ARM体系时,不需要死记硬背,只要把某些关键的大致记住,比如ARM寄存器(通用寄存器、PC、LR、SP、CPSR、SPSR)、ARM中断处理体系、常用的ARM汇编指令等。本文基本都是从书上截图,都是一些关键的知识,需要我们时常去复习的。一.ARM概述  ARM处理器的7种工作模式:

2015-01-14 20:17:01 1164

转载 十个最值得阅读学习的C开源项目代码

开源世界有许多优秀的开源项目,我选取其中十个最优秀的、最轻量级的C语言的项目,希望可以为C语言开发人员提供参考。1. WebbenchWebbench是一个在linux下使用的非常简单的网站压测工具。它使用fork()模拟多个客户端同时访问我们设定的URL,测试网站在压力下工作的性能,最多可以模拟3万个并发连接去测试网站的负载能力。Webbench使用C语言编写, 代码实在太简洁,

2015-01-10 12:43:25 1114

原创 MATLAB图像处理_HSV与RGB颜色空间互转

废话不多说,没什么技术含量,因为下面的代码是matlab中自带的转换函数。在这里贴出来只是为了方便以后复习、研究其转换的算法:HSV空间:分别是H(色调)——S(饱和度)——V(亮度)与HSI颜色空间类似:分别是H(色调)——S(饱和度)——I(强度)注意:   强度和亮度其实是一个概念。   饱和度代表的是渗入白光的数量级,白光越多,饱和度越小,白光越少,

2015-01-10 12:23:52 45283 1

原创 windows xp中boot.ini文件修改后电脑异常的解决办法

boot.ini文件千万不要乱改,改过之后可能出现系统启动失败;或者启动后异常如屏幕显示异常等等。因为工作需要,尝试改了一下,只是在最后面加上了一个

2015-01-08 13:14:12 2336 1

转载 MATLAB图像处理_LAB与RGB颜色空间互转

来源:http://www.mathworks.com/matlabcentral/fileexchange/24009-rgb2lab/content/RGB2Lab.m和http://www.mathworks.com/matlabcentral/fileexchange/24010-lab2rgb/content/Lab2RGB.m。RGB2Lab:function [L

2015-01-08 08:41:34 10284

原创 TMS320F28335项目开发记录11_28335之存储系统

TMS320F28335为哈佛结构的DSP,在逻辑上有4M×16位的程序空间和4M×16位的数据空间,但在物理上已将程序空间和数据空间统一成一个4M×16位的空间。    TMS320F28335片上有256K×16位的FLASH,34K×16位的SRAM,8K×16位的BOOT ROM,2K×16位的OPT ROM。1、 TMS320F28335片上SARAM

2015-01-05 17:15:34 5383 4

wxwidgets初学者一步步引导

不错的教程,一步步引导你学习wxwidgets,谁用谁知道!! 如果你是初学wxwidgets或想系统的理解wxwidgets,可参考。

2014-05-24

putty远程登录

putty,使用Linux系统的都应该知道的工具。提供远程登陆Linux等功能。

2014-04-05

计算机组成原理

本人看过的很不错的一本书,带着你入门,计算机专业必学。

2014-04-04

英语写作200句

让你英语写作闪光的句子,新东方培训课件,拿出来分享。。。

2013-05-17

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除