• 博客(0)
  • 资源 (1)

空空如也

Verilog HDL 华为入门教程.pdf

结构建模..............................................................274.8 case 语句..........................................................254.7 条件语句..........................................................254.6.6 连接运算符....................................................254.6.5 条件运算符....................................................244.6.4 按位逻辑运算符................................................234.6.3 逻辑运算符....................................................224.6.2 关系运算符....................................................214.6.1 算术运算符....................................................21 4.6 运算符和表达式.....................................................204.5.2 寄存器类型 ...................................................204.5.1 线网类型......................................................20 4.5 数据类型..........................................................184.4.2 常量.........................................................184.4.1 值集合.......................................................18 4.4 数字值集合........................................................184.3 格式.............................................................174.2 注释.............................................................174.1.3 书写规范建议..................................................174.1.2 关键词.......................................................174.1.1 定义.........................................................17 4.1 标识符............................................................17 4 Verilog HDL 基本语法....................................................16 3.3.4 混合设计描述..................................................153.3.3 行为描述方式..................................................143.3.2 数据流描述方式................................................123.3.1 结构化描述方式................................................12 3.3 三种建模方式......................................................113.2 时延.............................................................113.1.3 模块语法......................................................103.1.2 模块的结构....................................................93.1.1 简单事例.......................................................9 3.1 模块..............................................................9 3 Verilog HDL 建模概述.....................................................7 2.4.2 能力..........................................................72.4.1 历史..........................................................7 2.4 Verilog HDL简介.....................................................62.3 设计方法学.........................................................62.2 硬件描述语言.......................................................52.1 数字电路设计方法....................................................5 2 HDL设计方法学简介......................................................5

2013-03-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除