自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(36)
  • 资源 (3)
  • 收藏
  • 关注

原创 python程序设计进阶

bugBug的常见类型

2020-10-28 00:26:51 456

原创 python程序设计入门

python 诞生于1989年,(派森)python意思是大蟒蛇。 创始人是荷兰人吉多·范罗苏姆。数据类型,运算符等对象和类型字符串(string),简记为str。使用‘ ’或“ ”括起来的一系列字符。整数(integer),简记为int。浮点数(float)布尔数(boooean),简记为bool复数(complex)**注意:**为什么区别整数和浮点数?浮点数表示能力更强;浮点数有精度损失;cpu有专门的浮点数运算部件。运算符与表达式乘法:星号除法:/ **求余:%指数:除

2020-10-22 13:13:48 1634

原创 网络攻防入门基础

信息安全狭义安全:建立在密码论为基础的计算机安全领域,辅以计算机技术,通信网络技术与编程等方面内容。广义安全:一门综合性学科,传统安全到信息安全。自2013年斯诺登事件(棱镜门),即棱镜计划,美国安全局NSA自2007年实施的绝密监听计划,前中情局职员爱德华·斯诺登将两份绝密资料交给英国《卫报》和《华盛顿邮报》,国家安全局可以接触到大量个人聊天日志、存储数据、语音通信,文件传输等。之后事件发酵,美国政府主动解密了相关文件。2017年wannacry(永恒之蓝)勒索病毒全球爆发。全球知名的全球黑客大

2020-10-17 01:09:09 5316 1

原创 Linux常用命令简略版

文件处理命令ZAAAAAAAAAAAAAAAAAAAAAAAAA发财ZZzzZZZZZZZ 行形容4二位而他而我让5儿文如同呃呃失而复得徐 cxszaaaazsA张晓旭XS45555T R54EER55333355T45RRRRRRRT6E4R5T

2020-10-06 16:22:22 191 1

原创 Linux系统简介与安装

贝尔实验室工程师,开发unix系统,之后向美国大学开放,芬兰大学学生李纳斯开发了Linux开源软件。Linux系统标志企鹅,一种说法企鹅是芬兰的吉祥物。一种南极和北极不属于任何一个国家,Linux也是不作为商业用途。Linux内核版本,kernel(核心)官网:www.kernel.org发行版本,两个分支:redhat(红帽子),debian开源软件Linux系统安装VMware一个虚拟PC的软件官方网址:http://www.vmware.com...

2020-10-02 01:38:15 157

原创 统信桌面操作系统产品手册

远程登陆启动级别(切换)在uos桌面,按Ctrl+alt+t打开终端,在终端上执行 sudo init 3 ,系统进入到黑屏无图形化界面。使用过 crtl+alt+f2组合按键可以切换到字符行界面,在字符行界面,执行 sudo init 5 切换到图形化界面。自动登录和无密码登录自动登录在下次启动系统时(重启和开机)后可直接进入桌面。在锁屏和注销后再次登录需要密码。无密码登录:重启和开机,锁屏,注销都不需要密码。多屏显示模式自定义中合并即复制模式,拆分即扩展模式。连接隐藏网络触控板和

2020-09-23 00:15:16 6028

原创 VHDL状态机

网址链接

2020-09-20 23:42:57 469

原创 VHDL语言的基本结构(结构框架说明)

VHDL语言的基本结构一、实体说明主要描述的是一个设计单元的外部特征,即对外的输入/输出接口及一些用于结构体的参数定义。规则一:建议将VHDL的标识符或基本语句关键词,以大写方式表示;而又设计者添加的内容有小写字母表示。1、GENERIC类属说明语句作用:是操作者很容易的改变一个设计实体或一个元件的内部电路的结构和规模。结构:引到一个类属参量表,在表中提供时间参数及总线宽度等内部信息。2、PORT端口说明常用的端口类别:IN(输入): 仅允许数据流进入端口 用于 时钟输入、控制输入、单项数

2020-09-20 23:09:30 8763

原创 VHDL语法入门

比较基础的语法,讲的很详细百度文库地址

2020-09-20 18:02:27 144

原创 微信小程序官方开发文档——框架

小程序配置全局配置app.json 为小程序进行全局配置,内容是json 对象。page页面是一个数组。Window:小程序的状态栏,导航条,标题,窗口背景色。tabBar:菜单导航选项。list : tab的列表,接受一个数组,数组中每个都是对象。- 数组用[ ] ,对象使用 { }。十六进制颜色:以“#”开头的6位十六进制数值表示一种颜色。6位数字分为3组,每组两位,依次表示红、绿、蓝三种颜色的强度。#000000 三色全无,黑色 。 #FFFFFF 三色全满,白色。style:

2020-09-10 13:39:44 1914

原创 三、微信小程序的语法学习(二&三)

媒体组件audio可以将内容封装到对象中,在逻辑层 js 文件中进行操作视图容器movable-view与cover-view操作基础控件rich-text与progress操作rich-text——富文本控件progress——进度条控件video视频组件表单提交原理和提交操作reset 可以直接进行重置表单控件的操作checkbox——多选项目picker——滚动选择器radio——单选项目slider——滑动选择器switch——开关选择器导航

2020-09-06 21:16:31 182

原创 二. 2 语法小总结

小程序代码构成JSON 配置小程序配置 app.jsonapp.json 是当前小程序的全局配置,包括了小程序的所有页面路径、界面表现、网络超时时间、底部 tab 等。我们简单说一下这个配置各个项的含义:pages字段 —— 用于描述当前小程序所有页面路径,这是为了让微信客户端知道当前你的小程序页面定义在哪个目录。window字段 —— 定义小程序所有页面的顶部背景颜色,文字颜色定义等。JSON语法JSON文件都是被包裹在一个大括号中 {},通过key-value的方式来表达数据。JSON

2020-08-30 23:26:59 132

原创 二、微信小程序的语法学习(一)

小程序基础 icon,text,input的使用wxml 中是页面内容icon 标签成行排列组件scroll-view的运用scroll-view ——首页栏横向滑动框架组件view,swiper运用view——容器swiper—— 滑块视图容器布局框架的核心讲解数据绑定与数组运用数据定义在 js 中,数据呈现在 wxml 中。(单向绑定)wxml 中对 属性不进行转化,就是属性选择中是 true 跟 false ,但是wxml 只认识字符串,不进行转化。就

2020-08-30 15:44:09 166

原创 一、微信小程序快速入门

简介与发展账号注册和APPID申请微信小游戏开发者工具安装和项目创建开发框架介绍与demo代码认识pages(页面路径) :默认包括 index 和 logsutils(共享文件) :.gitignore 版本管理app.js 全局 js 文件app.json 全局配置结构app.wxss 全局样式文件project.config.json 项目配置文件(个人设置)···配置文件app.

2020-08-29 14:26:28 223

原创 Excel 数据爬取

b站视频来源数据获取Excel 数据爬取高级功能实操过程第一步、获取浏览器标识(UserAgent)谷歌为例:右键——检查——Network——重新加载网页——点击下面第一个网页信息——headers——下拉最下面,获取useragent 标识第二步、设置响应时间(伪装用户浏览)新建excel——数据——自网站——高级——粘贴URL部分,命令超时 1分钟,HTTP请求标头参数选择useragent,后面粘贴之前复制useragent第三步、数据预处理(Power Query)b站视

2020-08-28 15:06:49 2034 1

原创 Excel公式与函数

同时打开/关闭多个工作簿按住ctrl,鼠标点击多选,enter回车按住shift,点击关闭单元格换行alt+enter数据处理数据区域转换文本分列: 数据–》分列——》固定宽度文本分行:符号分格,数据——分列——分隔符号 ——复制,粘贴——转置多列数据合并:剪贴板——复制进去——粘贴编辑栏输入 =A2&B2&C2 ,拖动单元格右下角,向下填充公式与函数运算符横向填充句柄和竖向填充句柄常用函数及使用当前日期快捷键:Ctrl+;·

2020-08-27 23:51:18 154

原创 FPGA软件使用

Quartus Ⅱ使用流程新建工程文件File ???? New Project Wizard ???? Next ???? 路径,下面两个名称和路径一致????添加已有文件,没有则跳过 ???? 器件选择 ???? 选择工具 ???? 总结报告–finishFile ???? New ???? 添加文件类型 Verilog HDL File编译·Compile Design ··································· 全编译Analysis

2020-08-27 14:23:02 1137

原创 deepin官方历史版本存档

deepin官方在15.4之后的版本就停止了32的开发,所以之后的版本只有64位,为了帮助大家伙们使用年代久远、性能低下的电脑体验linux系统——deepin,所以我翻遍了deepin的官方网页,终于发现了一个不起眼的角落中的好东西。官方历史存档·#默默吐槽一下,官方为啥子就直接摆上了路径,这也太随便了吧(而且路径全英文…)所以我决定翻译一下它…applications(应用)deepin-boot-maker(深度启动盘制作工具)macos压缩文件windowsoldversi

2020-08-20 19:41:13 4142 1

原创 【史上最详细】win10下的Deepin双系统安装小白教程

本文摘自deepin官方社区>深粉交流>使用交流 用户gongye0432·较之官方的可能更加生僻一点,但是有的确实针对使用性·【史上最详细】win 10下的Deepin双系统安装小白教程

2020-08-20 15:54:42 7066

转载 【系统 win10 deepin】双系统安装(win10和deepin双系统)

概述所有的事情都源自于一只蝙蝠,在这个无聊且漫长的寒假,终于能够实现躺在家里也能为国家做出贡献的时候了。既然闲着也是闲着,那为何不折腾一下自己的电脑呢。相信...

2020-08-20 12:18:23 13601

原创 deepin双系统

磁盘分区——划出新的磁盘空间(推荐30G)开机重启,选择U盘启动,到达新分区(手动分区)

2020-08-19 16:42:23 356

原创 统信UOS产品介绍

介绍B站视频桌面启动器—类似开始菜单特色功能基本使用

2020-08-19 16:30:38 1072

原创 Unix、GUN、Linux历史

Linux (妮讷可斯)统信科技公司

2020-08-19 15:23:55 222

原创 统信国产linux操作系统——虚拟机安装

官网即可下载新建–》自定义(高级)----版本最新15.x----稍后安装----linux----Debian10.x64位----虚拟机名称(例uos20 desktop-1) 位置不要选择c盘,不要直接装在根目录----处理器数量1 内核数量不超过就行了 内存推荐至少2个G ---- 使用桥接网络----默认----默认----最大磁盘大小20G足够了 将虚拟硬盘拆分成多个文件----自定义硬件-----处理器 虚拟化引擎(3个都勾上)新CD(使用ISO映像文件 浏览----关闭,完成启动

2020-08-19 15:19:50 3343

原创 deepin安装&卸载

原生安装如何安装deepin官网安装步骤

2020-08-19 13:27:32 322

原创 FPGA硬件语法实例

FPGA硬件基础用HDL描述的抽象硬件电路模型,Verilog称为ModuleFPGA是由许多单元(cells)组成EDA首先将源HDL模型转变为基本的门级网表,称为编译EDA随后为基本门模型分配具体的cell,称为映射为了检验抽象电路代码是否正确,需要对其进行测试,称为验证,验证需要单独编写一段HDL代码,建立测试用模型,模型称为Testbenth软件运行抽象模型的方法称为仿真综合前验证加载测试用户RTL模型,验证的内容主要是测试模型的逻辑功能,综合前验证又称为功能仿真或RTL仿真,或者前

2020-08-16 12:20:58 506

原创 四川师大 信息素养

信息素养逻辑框架六种基本能力:信息需求识别能力信息检索能力信息获取能力信息评价能力信息管理能力应用信息能力三个基础1.信息知识2.信息伦理3.信息意识信息重构信息素养与终身学习集合网站:虫部落快搜http://www.chongbuluo.cn/网络资源干货多电商网站电商网站的另一种玩法!商品可以不买,信息资源我们要拿走。知乎问答社区回答更有深度美国版"知乎" ——Quora专业网络论坛大家论坛——主要关注学习和考证果壳网——科技小木虫——学术

2020-08-10 22:37:14 3361

原创 Simulink基础

Simulink建模与仿真基础Simulink启动Simulink库浏览器新建模型添加模块模块参数模块连线整体模型参数仿真时间示例演示Simulink仿真数据保存和可视化模块端口数据显示Scope模块Simulation Data Inspector仪表控制台显示组件数据保存Simulink子系统与自定义库创建自定义库库模块的管理更新...

2020-08-05 17:05:27 159

原创 Simulink简单模型

简单的Simulink模型Library Browser将模块添加到模型连接模块添加信号查看器运行仿真优化模型

2020-08-04 19:55:00 1034

原创 MATLAB入门之旅摘要

基本语法桌面管理数组类型等间距向量创建矩阵索引数组运算多个输出文档绘图使用表逻辑运算编程

2020-08-04 00:59:25 249

原创 MATLAB帮助文档——5.编程

控制流条件控制—if,else,switch条件语句中的数组比较循环控制—for,while,continue,breakforwhilecontinuebreak程序终止—return向量化预分配脚本和函数脚本函数函数类型匿名函数主函数和局部函数私有函数嵌套函数全局变量命令和函数语法...

2020-08-01 02:33:03 109

原创 MATLAB帮助文档——4.图形

基本绘图函数创建绘图在一幅图形中绘制多个数据集指定线型和颜色绘制线条和标记绘制虚数和复数数据axis equal 命令使 x 和 y 轴上的各刻度线增量的长度相同,这会使此绘图看起来更加圆润。将绘图添加到现有图形中图窗窗口清空图窗以便创建新绘图在一幅图窗中显示多个绘图控制轴添加轴标签和标题保存图窗...

2020-07-31 19:20:29 168

原创 MATLAB帮助文档——3.数学

线性代数MATLAB环境中矩阵创建矩阵矩阵的加法和减法矩阵的乘法单位矩阵矩阵求逆Kronecker张量积Kronecker 张量积(克罗内克)向量范数和矩阵范数线性方程组通解非奇异系数矩阵奇异系数矩阵精确解最小二乘解超定方程组迭代法Cholesky分解LU分解QR分解幂和指数正整数幂逆幂和分数幂逆元素幂平方根标量底矩阵指数处理较小的数字特征值特征值的分解奇异值非线性函

2020-07-31 00:27:09 112

原创 MATLAB帮助文档——2.语言基础知识

矩阵和幻方矩阵输入矩阵矩阵求和、转置和对角矩阵求列总和** 求行总和**MATLAB 会优先处理矩阵的列,因此获取行总和的一种方法是转置矩阵,计算转置的列总和,然后转置结果。MATLAB 具有两个转置运算符。撇号运算符 **(A’)**执行复共轭转置。转置矩阵,并且更改矩阵的任何复数元素的虚部符号。点撇号运算符 (A.’) 转置矩阵,但不会影响复数元素的符号。对于包含所有实数元素的矩阵,这两个运算符返回相同结果。magic函数生成矩阵表达式变量数字矩阵运算

2020-07-30 13:35:35 544

原创 MATLAB 帮助文档——1.入门

桌面基础知识• 命令行窗口 - 在命令行中输入命令(由提示符 (>>) 表示)。• 工作区 - 浏览您创建或从文件导入的数据。矩阵和数组数组创建矩阵和数组运算使用inv必须是方阵 注意元素级乘法(.)和矩阵乘法的区别()串联*必须对应行数和列数相等。复数*sqrt()函数:平方根函数。数组索引线性索引工作区变量保存、清除、还原工作区中所有变量数据。文本和字符字符串数组中的文本字符数组中的数据有时,字符表示的数据并不对应到文本

2020-07-29 23:52:18 939

原创 Matlab软件使用

Matlab软件使用实验一 矩阵运算与Matlab命令向量的创建和运算创建矩阵实验二 Matlab程序设计入门程序控制结构全局变量设置工作路径程序设计基本原则实验三 函数可视化与Matlab作图...

2020-07-26 21:42:08 115

VHDL语法入门.pdf

fpga硬件描述语言vhdl的入门语法,每一个环节都讲解详细,附带上了示例,使用格式,还有每个词的硬件含义,比较适合初学者进行系统的了解vhdl语言,帮助他们进行fpga的开发

2020-09-20

录屏微课制作--剪辑师软件教程及安装文件.zip

剪辑师,优秀的录屏软件,压缩包内有剪辑师软件的安装包和安装步骤说明,安装按照引导说明就可以了,压缩包内同时有该软件的操作学习的视频,包括声音,视频等

2020-08-05

自动售货机 808_809_815_818.zip

MFC对话框 完成模拟自动售货机,可实现现金支付,微信支付,支付宝支付,同时可以更新管理员界面的数量,价格,最后可以生成交易流水的记录,商品名称,价格,购买时间

2019-09-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除