自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

春天的故事

今天的春天,是明天的秋天

  • 博客(48)
  • 资源 (6)
  • 收藏
  • 关注

原创 python 怎样遍历一个文件夹

python 怎样遍历一个文件夹:

2021-04-28 14:09:02 191

原创 GCC/RAMGCC 的printf重映射

但使用嵌入式开发是,会使用pritnf重映射,但使用GCC和RAMGCC是,重映射的代码为如下:配置后,之间添加头文件“include<stdio.h>”,后使用printf正常打印;

2021-04-28 13:47:31 353

原创 GCC的链接过程

GCC的链接过程:1.分配连接空间:2,添加必要的库:3,设置编译的连接文件:1.入口:2 代码存放:3,extab:4,data区 存放:5,堆栈空间:6,空间检查:4 启动过程:1,设置堆栈:2, 设置向量表:3,设置函数入口Reset Handle中断:4,启动签搬运RAM数据:5,最后连接C函数入口:...

2021-04-28 11:32:45 1301

原创 GCC和RAMGCC的弱定义

GCC和RAMGCC的弱定义:

2021-04-28 10:46:03 227

原创 tcsh 中使用forcech 读取文本每一行,一行中有空格,一行被拆分;

tcsh 中使用forcech 读取文本每一行,一行中有空格,一行被拆分多行;例如如下读取:这个awk 读取cfg中每一个,其实是正确读出的,当使用foreach 去获取每一行时,一行中存在空格, foreach会再拆分一下,所以,一行变多行,办法:1,避免行中的空格出现,2,自己再拼接其实都是不最优化的,有大神帮忙给一个最优化的处理嘛。...

2021-04-28 10:31:09 517

原创 APB上挂载的IP地址怎样设置

APB上挂载的IP地址怎样设置:其实比较简单,直接设置psel 现在信号,当地址在某一个范围之间就拉起psel:例如 APB总线上怎样设置:

2021-04-26 14:19:36 368

原创 UVM register model的使用

UVM怎样使用register model 验证寄存器:1, 继承uvm_reg 建立uvm_reg_field在build中声明,并且configure:2,继承uvm_reg_block, 创建需要配置的寄存器,配置后门或前门的地址:3,建立一个uvm_reg_adapter:主要是改写reg2bus,和bus2reg:4,建立一个整体的uvm_reg_block:主要是定义AHB的访问地址,5,建立读写的seq:直接使用uvm_reg的。read或者write:6,连接s

2021-04-25 16:20:21 700

原创 GCC的一般编译过程:

1,工具:2, 编译参数:3,需要编译的代码:4,依赖编译:5,附加:debug 调试:

2021-04-22 16:17:08 70

原创 vcs 的一般使用options

vcs在仿真中 一般会使用如下的options

2021-04-22 16:12:43 627

原创 VCS dump 波形的函数

当使用VCS 仿真是,如果需要dump 波形,可以使用:1,fsdbdumpfile xxx.fsdb或者使用fsdbAutoSwitchDumpfile < size> name < barksize>2, 通过fsdbDumpvars < depth> 设置波形深度,默认设置0 全dump;

2021-04-22 16:06:18 2210

原创 怎样产生自己的一个镜像函数/全局变量地址列表

怎样产生自己的一个镜像函数/全局变量地址列表:–callgraph --symdefs=dir/symdefs:添加进连接器即可:ARMGCC

2021-04-22 15:52:20 306

原创 GCC编译:error: expected ‘=‘, ‘,‘, ‘;‘, ‘asm‘ or ‘__attribute__‘ before ‘typedef‘

error: expected ‘=’, ‘,’, ‘;’, ‘asm’ or ‘attribute’ before XXX:这个是GCC编译是出现的错误,前一天还正常,今天出现该错误,,查了3遍 报错的地方就是没有错误,网上查了很多,没找到原因,开始怀疑编译器,重启电脑等了,最后的最后发现是,顶部的注释前面不小心插入了一个字符,删除后,直接就可以编译了, 【泪】【苦笑 苦笑】总结:该错误出现,就是该文件出现编写错误,如少写什么东西,或者多了什么字符,而且不应该 只看报错误行,整个文件差一遍;

2021-03-23 10:57:22 4286

原创 UVM 验证方法学 - learn-1

UVM 验证方法学UVM1.1 基于SystemVerilog 完成第一节,一、oop 面向对象编程,二、Class 面向对象化(一)Encapsulate 封装 :模块化(二)Inheritance 继承 :可重用性(三)Polymorphism 多态性: 通过virtual实现,(四)如果没有virtual,可能使用父类的东西。三、代码:(一)代码风格:方法定义在外面最好把所有的数据或者方法在外面申明一,方便一眼看到所有数据和方法。(二)参数化的类方便配置,提高重用性。(三

2021-02-24 13:49:10 468

原创 小程序组件tabbar ,模拟器正常,真机只显示一个

小程序组件tabbar ,模拟器正常,真机只显示一个如下是模拟器的显示,如果在安卓的机器中只显示一个,代码:"tabBar": { "list": [{ "pagePath": "pages/index/index", "iconPath": "3.png", "selectedIconPath": "4.png", "text": "我的" },{ "pagePath": "pages/index/index",

2021-02-22 14:04:43 521 1

原创 shell 脚本中执行字符串格式的命令;

例如需要在脚本中执行"make all TC=CaseName"类似的命令,如果在参数中不包含空格这样,可像终端一样执行:例如:shell="make all TC=CaseName"可以直接$shell或者 $(shell)或者shell这三种方式,但是这个和终端中直接执行是有一定区别的,例如参数中带有空格就无法正确执行应该采用eval $cmd该方法才是真正意义上的终端执行一样;...

2020-06-02 19:00:07 2035

原创 VC xx与VS xx 关系

VC xx与VS xx 关系:Visual Studio 6 : vc6Visual Studio 2003 : vc7Visual Studio 2005 : vc8Visual Studio 2008 : vc9Visual Studio 2010 : vc10Visual Studio 2012 : vc11Visual Studio 2013 : vc12Vis...

2020-04-30 15:26:58 711

原创 删除.nfsxxxxxxxxx文件的方法

使用以下命令 :/sbin/fuser -k .nfsxxxxxxxxx

2019-12-23 09:48:59 918

原创 makefile 学习笔记 --- 字符串处理

1, $(subst , , )名称: 字符串替换函数 subst功能: 把字符串中的字符串替换成返回: 被替换后的字符串eg: $(subst ee, EE, feet on the street) 把"feet on the street" 中的"ee" 替换成 "EE",返回的结果为 "fEEt on the street"2, $(pathsubst , , ...

2019-11-12 15:16:14 274

原创 warning: failed to kill 356: No such process,No process in pidfile '/var/run/docker-ssd.pid' found

今天 使用docker 出现了问题,提示:Stopping Docker: dockerstart-stop-daemon: warning: failed to kill 356: No such processNo process in pidfile ‘/var/run/docker-ssd.pid’ found running; none killed.现在是啥不死,运行不了,...

2019-11-11 15:04:51 3798 2

原创 安装python-docx 出错,error: can't copy 'docx/templates/default-docx-template': doesn't exist or not a re

最新学习python 读写docx,准备安装一个python-docx玩玩,立刻:pip3 install python-docx但是啊但是安装出错,我去,什么情况:错误如下:copying docx/text/paragraph.py -> build/lib/docx/text creating build/lib/docx/oxml/text copying do...

2019-11-08 15:59:13 1628 1

原创 pip3 更新失败的解决办法

首先更新pip3的正确指令是:pip3 install --upgrade pip而不是:pip3 install --upgrade pip3但是明明更新成功了,但是版本还是原来的,奇怪其次,重新安装:apt-get 安装python3-pip 还几次,失败告终最后解决版本1:curl https://bootstrap.pypa.io/get-pip.py -o get-p...

2019-11-08 15:00:29 2271 1

原创 Ubuntu: Firefox 的profile missing解决

Ubuntu的Firefox时突然出现了如下错误:“firefox profile cannot be loaded it may be missing or inaccessible”。cd ~sudo rm .mozilla -rfsudo rm .cache -rf注意自己环境,误删除自己文件就不太好了其中username替换为你的用户名,usergroup替换为你的用户组名(...

2019-09-10 11:28:51 1418

原创 子系统配置x-wind 窗口

1 安装X-Windows可供选择安装的X-Windows有多个:VcXsrv Windows X Server、Xming、Cygwin X Server,本文选择第一个,因为比较容易,而且据说稳定;软件首页:https://sourceforge.net/projects/vcxsrv/下载地址:https://ncu.dl.sourceforge.net/project/vcxsrv/...

2019-09-10 10:58:34 826 2

原创 2 ARM 指令集 - 学习笔记

2.指令集32位的ARM指令集,对应处理器状态是ARM16位Thumb指令集,对应处理器是Thumb状态不同的指令集对应不同的处理器的状态,在执行过程种,处理器可以动态的切换两种状态,但是可以发现,Thumb是ARM的一个子集,但是他能带来更高的代码密度。Thumb-2:这是一个突破性的指令,thumb-2是thumb的一个超集,是一个16位指令和32位指令的首次集合,所以在thumb...

2019-07-03 10:11:49 245

原创 1,RAM概述 - 学习笔记

1.ARM概述Cortex-M3是ARM公司出的第7代架构的内核,M级别的就是面向单片机的系列,说明CM3就是单片机的级别。在单片机中就是加入各个外围,例如:UART,I2S,I2C,USB等外设,合并成一片单片机。ARM(advanced RSIC Machine)公司1991 ARM6A系列 - 高性能“开放应用平台”R系列 = 高端嵌入式系统 尤其是实时性比较高的M系列 - 深...

2019-07-03 10:09:36 912

原创 一,蓝牙协议的框架图解

一,蓝牙协议的框架直接上图,不想再去理解一遍,之前的文档做了一些,直接搬运两个图来赋上BLE蓝牙协议的框架图BR/EDR蓝牙的框架图:

2019-07-03 09:59:34 2589

原创 IC 设计 验证 -学习笔记

IC 设计 验证编写 TESTBENCH 的目的是为了对使用硬件描述语言设计的电路进行仿真验证,测试设计电路的功能、性能与设计的预期是否相符。通常,编写测试文件的过程如下:• 产生模拟激励(波形);• 将产生的激励加入到被测试模块中并观察其响应;• 将输出响应与期望值相比较。完整的test bench 文件结构时钟激励/-------------------------------...

2019-07-03 09:54:25 681

原创 Verilog 状态机的设计 -学习笔记

Verilog 状态机的设计。包括三个部分:1,下一个状态机的逻辑电路2,存储状态机的当前实现逻辑电路3,输入组合逻辑电路。根据状态机的输出信号是否与电路的输入有关分为 Mealy 型状态机和 Moore 型状态机。电状态机的描述通常有三种方法,称为一段式状态机,二段式状态机和三段式状态机。状态机的描述通常包含以下四部分1)利用参数定义语句 parameter 描述状态机各个状态...

2019-07-03 09:53:01 1377

原创 Verilog HDL 代码规范 - 学习笔记

Verilog HDL 代码规范接口时序设计规范模块和模块之间的通过模块的接口实现关联,因此规范的时序设计,对于程序设计的过程,以及程序的维护,团队之间的沟通都是非常必要的命名规范1顶层文件:对象+功能+Top :video_oneline_top2 逻辑控制文件对象+ctr : ddr_ctr.v3 驱动程序命名:对象+功能+dri : lcd_dri.v、uart_rxd...

2019-07-03 09:51:56 399 2

原创 学习笔记 -1 IC设计 验证 -UVM学习总结

————Meiger1,验证的本质:尽可能的找出设计的bug;2,测试向量文件测试文件(testbench)以模拟的方式来验证逻辑时序的正确性,以源的方式来激励用户编写的逻辑功能模块。3,验证的3要素;(1)灌激励:输入信号(2)集响应:输出信号(3)作比较:比较4,验证平台的发展(1)1, Verilog Testbanch(2)语言发送 Verilog -&amp;gt; ...

2019-01-09 17:20:54 2109 1

原创 MDK(Keil) 自动生成bin文件、汇编文件或者HEX文件、ASM文件

我们在使用MDK(Keil)编译代码时,可能会需要把代码产生成一些不同的文件如生成库文件、Hex文件,或者Bin文件,Asm文件1,需要生成库文件:2,需要生成HEX文件:3,需要生成Bin文件:4:需要生成Asm汇编文件。...

2018-12-14 10:48:52 9507 3

原创 MDK(Keil)调试常常出现闪退现象的解决。

解决方案:1,打开注册表2,Win+R键呼出“运行”,在框内输入“Regedit ”,回车打开注册表。3,如果弹出“是否允许此应用对你的计算机进行更改”的权限提示,选择“是”在注册表中,找到并进入HKEY_CURRENT_USER\Software\Microsoft\Windows NT\CurrentVersion\AppCompatFlags\Layers 若发现有形如下的条目(C:...

2018-12-14 10:39:19 18536 12

转载 CH340驱动

Windows驱动下载链接:CH340/CH341 Windows 驱动链接简要说明:CH340/CH341的USB转串口WINDOWS驱动程序的安装包,支持32/64位 Windows 10/8.1/8/7/VISTA/XP,SERVER 2016/2012/2008/2003,2000/ME/98,通过微软数字签名认证,支持USB转3线和9线串口等,用于随产品发行到最终用户。Linux驱...

2018-12-07 13:46:16 20075 4

原创 Jlink 使用 RTT 输出调试信息总结

一天的突然消息,听说Jlink 可以仅仅使用自身就可以输出调试信息,不在使用多余的其他UART 外界接口,所以就花了3天时间折腾了下(网上搜索,使用很简单,但是自己去使用三天才正常输出,踩了不少坑,所以现在记录一下), Jlink 输出调试信息可以通过两种方法一,Jlink的 Target功能,该方法需要用到Jlink 的SWO引脚,如果外设芯片没有这个脚的话,说明这个方式是行不通的 ...

2018-12-06 15:20:23 7531 8

原创 Vivado 2017.3安装后无法启动,提示Launcher time out解决

最近用到vivado,首次安装,出现了 Error when launching ‘D:\Xilinx\Vivado\2017.3\bin\bin\vivado.bat’: Launcher time out 的现象.亲测解决方案, 1,进入安装路径 D:\Xilinx\Vivado\2017.3\bin\unwrapped\win64.o 找到vivado.exe ,并删除。 2,...

2018-06-29 14:46:12 4946 11

原创 VScode 全局搜索功能

最近知道到VScode这个软件,开始试用,总体感觉很不错,就是不能全局搜索,经过了解,是我的配置问题,上网找到了一个解决办法,在配置文件添加:“search.exclude”: { “system/”: true, “!/system/*/.ps*”: true }, 现在测试一下吧, ctrl + shift +F,开始你的表演吧。...

2018-05-23 10:06:20 125875 7

原创 Ubuntu ipv6造成在线升级错误

问题: python3: ../sysdeps/posix/getaddrinfo.c:2603: getaddrinfo: Assertion `IN6_IS_ADDR_V4MAPPED (sin6-&amp;amp;gt;sin6_addr.s6_addr32)’ failed. 解决方法: $ sudo rm /etc/hostsWindows: 10 V1607, OS 14393.953 L...

2018-05-07 11:07:31 379

原创 win10 下的Ubuntu子系统目录

记录: win10安装了子系统的目录在如下的window的目录下:C:\Users\Administrator\AppData\Local\Packages\CanonicalGroupLimited.UbuntuonWindows_79rhkp1fndgsc\LocalState\rootfs

2018-04-13 14:54:36 6970

原创 Samba 服务器,实现liunx文件共享,window查看

Samba 服务器,实现liunx文件共享,window查看刚刚使用Liunx时,对于Liunx下的文件会感觉不太方便,如果想在window下直接访问Liunx文件,直接编辑,会很大的方便。现在简述一些 Samba服务器,Liunx安装,window访问,使用平台 :ubuntu 16.4 window 10:Ubuntu 安装samb...

2018-03-29 15:35:25 255

原创 学习笔记 matlab .m文件 - 5

matlab之前一直是在命令窗口输入命令,对于一些复杂的事,每次一条一条输入,实在不方便,而且可重复性不高, 但是matlab提供了文件方式,解决的这个问题 matlab文件是一个后缀名为.M的文件 ,如果PC不显示后缀名,可以设置显示,具体方法请自行网上搜索。 matlab可以运行执行两种文件 1,脚本文件,.m扩展程序文件,文件中可以写入系统命令,但不接受输入和输出, 2,函数文...

2018-03-26 17:43:31 423

蓝牙公司总结和生产的IC型号.doc

网上收集了目前蓝牙公司和生产的蓝牙芯片。 总部:xxx 官网:http://www.xxxxxx.com/ 蓝牙芯片产品: xxxxxxxxxxx

2020-11-11

codelite64_12.0.10 win

好用的C/C++开发IDE,实用免费。

2018-12-05

tdm64-gcc-5.1.0-2.exe

tdm64-gcc-5.1.0-2.exe 工具软件,用于64位win系统,点击安装。

2018-12-05

Jlink V6.35

Jlink 驱动 ,最新版本V6.35

2018-12-04

J-Flash下载、设置STM32的方法

J-Flash下载、设置STM32的方法,总结了怎样使用j-link工具完成RAM cpu的flash操作。

2018-09-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除