自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(24)
  • 资源 (8)
  • 收藏
  • 关注

原创 [MATLAB]MATLAB零碎知识点汇总(自己的学习笔记,不断更新中。。。)

202003241.MATLAB中,进入与当前文件夹平行的另一个文件夹中,需要修改路径。直接使用'..'即可举例:当前路径:G:\Proj3_VelocityAnalysis\低功耗1米3模拟器1_20k_100amp\Code数据保存的路径:G:\Proj3_VelocityAnalysis\低功耗1米3模拟器1_20k_100amp\Data很显然程序在Code中,程序需要...

2020-03-25 00:28:02 337

原创 [MATLAB] MATLAB 2019a中profile无法使用的情况

有些电脑安装了MATLAB 2019a之后profile(探查器)无法使用(我公司电脑就无法使用,我自己家用台式机就没问题)。后来查到相关资料,具体链接如下https://www.mathworks.com/matlabcentral/answers/470547-in-matlab-2019a-profiler-does-not-work-correctly如果不想看链接呢,直接按照如下...

2020-03-18 08:59:55 1702 7

原创 在MATLAB中给子图(subplot)增加一个总标题

有两个函数 suptitle和sgtitle,这两个函数均可以实现给子图(subplot)添加标题,但是优先使用sgtitle,suptitle使用起来存在问题,当子图多了以后,使用suptitle会导致第一个和第二个子图的形状变形,缩小了。【优先使用sgtitle,但是该函数在2018b中推出的】...

2020-03-16 12:15:47 20571 1

原创 MATLAB GUI绘制的figure如何实现原先MATLAB自身绘图的放大缩小等功能

MATLAB GUI刚开始学习不久,自己设计MATLAB GUI绘图时,绘制的曲线总是不能够像MATLAB自身的figure那样具有放大缩小等功能。后来查询资料才知道2018b以后提供了这样一个函数axtoolbar这个函数功能自行查阅即可补充说明:如果在绘图中需要标记数据,然后又希望数据能够自动删除,可以参考以下两个函数enableDefaultInteractivity(ax)...

2020-03-13 15:59:28 2185

原创 Verilog 模块例化小工具

Verilog模块例化小工具,辅助Verilog代码设计。

2022-09-12 16:18:36 688 1

原创 将Win10的两台电脑通过网线互联的方法及若干问题

本人有一台笔记本上资料很多,如果使用移动硬盘作为中间存储介质来存储的话,就比较麻烦,因此就打算采用网络共享来实现。  主要的步骤参考这个博客中的  https://blog.csdn.net/weixin_33895016/article/details/94460433  PS:注意最好严格按照这个博客中的步骤,尤其是那个IPv6取消勾选  但是实际操作中会遇到几个问题1.在设置网关后会出现下面这个警告这个时候可以将只有用于连接的网络启用,其他的全部禁用。当然后面实际操作下来,并没有任何

2021-10-06 15:06:52 3258

原创 雷达方程的推导

雷达方程的推导暂时Markdown的编辑还不是很熟练,因此公式部分全部截图了。相应的word文档见文末链接(稍晚时候会上传)。第一部分:几个问题1. 为什么要重视雷达方程? 雷达是依靠目标散射的电磁波回波能量来探测目标,雷达方程定量地描述了作用距离和雷达参数以及目标特性之间的关系。研究雷达方程主要由以下几个作用: (1) 根据雷达参数来估算雷达的作用距离; (2) 根据雷达的威力范围来估算雷达的发射功率; (3) 分析雷达参数对雷达作用距离的影响,这对雷达系统设计中正确的选择

2021-07-15 19:37:36 5339

原创 Quartus II 11.0 破解成功后,编译时却提示不支持 xxx器件的ERROR

报错提示如下所示:Warning: FLEXlm software error: Invalid (inconsistent) license key. The license key and data for the feature do not match. This usually happens when a license file has been altered. Feature: quartus License path: C:\altera\11.0\quartus

2020-08-10 23:47:29 5992 13

转载 【转载】Matlab---size,length和numel函数的用法

size:获取数组的行数和列数length:数组长度(即行数或列数中的较大值)numel:元素总数。 1.size()函数s=size(A),当只有一个输出参数时,返回一个行向量,该行向量的第一个元素时数组的行数,第二个元素是数组的列数。[r,c]=size(A),当有两个输出参数时,size函数将数组的行数返回到第一个输出变量,将数组的列数返回到第二个输出变量。如果在size函数的输入参数中再添加一项,并用1或2为该项赋值,则size将返回数组的行数或列数。 其中r=size.

2020-07-27 12:00:28 266

原创 [Matlab]关于delete指令警告:未找到XXX文件

删除文件就使用delete函数,可是我明明已经删除了啊,为什么删不掉呢?而且还有警告:未找到该文件!?delete(flies(i).name)哦,后来一想,不对,肯定是路径问题。正确的应该是delete([filepath,flies(i).name])这样就OK了...

2020-03-15 14:32:13 1400 2

转载 MATLAB中如何判断一个变量的数值是整数

声明:以下方法均源自网络,非原创。方法1:使用fix或者round函数 if x==fix(x) % x为整数 %fix(x)为取整 end if x==round(x) %round(x)对x取整 end方法...

2020-03-12 12:14:47 15683

原创 警告: 'popupmenu' 控件要求 'Value' 为字符向量范围内的整数 只有控件的所有参数值都有效时,才会呈现该控件

此处先引用一下别人的答案https://blog.csdn.net/qq_42738639/article/details/100030821别人的答案因为popupmenu控件的string数目是动态变化的,但是matlab的popupmenu的value值变化后,会自动固定最大的value值,固定后,再输入的string数目少于value值,就会无法正常显示,建议在赋给下拉框str...

2020-03-11 16:16:38 1595

原创 MATLAB二维数组扩充为三维数组-repmat函数

在项目仿真中遇到了这个问题:三维数组中每一列减去相同的二维数组,该如何操作?必须采用以下表达式Rx1TimeData1= Rx1TimeData0 - repmat(mean(Rx1TimeData0,1),[NumSamplesPerChirp,1,1]);式中Rx1TimeData1和Rx1TimeData0均为三维数组,而经过mean(Rx1TimeData0,1)后,该数组是1...

2019-09-04 02:58:00 10475

原创 MATLAB R2015b初始默认路径设置(概要:在Preference中操作设置)

如何设置MATLAB一打开时的默认路径?对于这个问题,特地在网上搜索了一下,也试了几种常见的方法,但是对于MATLAB R2015b好像都不奏效。然后笔者自行探索,终于设置成功了。具体步骤如下:上述方法亲测成功。日期:2019年9月3日...

2019-09-04 00:32:32 993

原创 DSP C6678 中定标转浮点的库函数使用经验DSP_q15tofl()

一、库函数名:DSP_q15tofl()二、主要功能:将q15格式(定标格式)的数据转换成float类型,具体使用细节详见X:\ti\dsplib_c66x_3_4_0_0\packages\ti\dsplib\docs\doxygen下的DSPLIB.chm文件三、主要注意点:1、了解什么是定标格式https://blog.csdn.net/sun19910114/art...

2019-05-15 00:48:16 1193 3

原创 各种版本的RapidIO Specification下载

截至本博客本时,RapidIO 互连协议已经更新到V4.1版本。各版本的下载地址如下:http://www.rapidio.org/rapidio-specifications/这些specification均为免费下载RapidIO行业的官网http://www.rapidio.org/...

2019-04-16 17:51:12 1439

原创 C6678程序中的_mfence()内联函数

常见用法:一般在对内存进行操作时使用,通常使用两次。详见下面的例子static inline void WritebackCache(void * blockPtr, Uint32 byteCnt){ if(byteCnt>0xFFFF*4) byteCnt= 0xFFFF*4; if((Uint32)blockPtr>=0x0C000000&&(Uin...

2019-04-10 15:20:47 2407

翻译 【美国国家战略】《量子信息科学国家战略概述》(英文资料和翻译链接)

2018年9月24日,美国白宫科技政策办公室(OSTP)国家科学技术委员会(NSTC)发布《量子信息科学国家战略概述》(下称《概述》)。白宫方面认为,量子信息科技(QIS)将引领下一场技术革命,给国家安全、经济发展、基础科研等带来重大变革。《概述》系统性地总结了量子信息科学带来的挑战、机遇,以及为维持和扩大美国在QIS领域的领导地位应做出的努力。原文资料下载链接: ...

2019-04-04 16:08:01 571 1

转载 【非原创】WORD 2016 如何导入安装好的MathType6.9

附:MathType官网提供的方案http://www.mathtype.cn/jiqiao/zenme-anzhuang.htmlWORD 2016 如何导入安装好的MathType6.91、Mathtype6.9是一个很方便的数学公式编辑器,但是因为不明原因,常会出现如下错误窗口导致软件无法正常使用:2、为了解决此问题,要确保路径被office信任。依次打开word...

2019-03-03 18:50:03 246

原创 LeetCode 第一题 C语言实现

 给定一个整数数组和一个目标值,找出数组中和为目标值的两个数。你可以假设每个输入只对应一种答案,且同样的元素不能被重复利用。示例:给定 nums = [2, 7, 11, 15], target = 9因为 nums[0] + nums[1] = 2 + 7 = 9所以返回 [0, 1]/** * Note: The returned array must be m...

2018-08-31 13:50:20 5287 5

转载 【转载】Cannot find or open the PDB file

原文链接:http://blog.163.com/chenpeijie0_0/blog/static/1830945712011780275118Cannot find or open the PDB file  2011-08-08 00:42:13|  分类: C++学习 |  标签:find  命令符  |举报|字号 订阅用vs2010写程序时,经常遇到:想查看输出结果,但是提示...

2018-08-30 15:24:14 236

转载 【转载】等波纹滤波器中density factor参数的解释

今天打开MATLAB的滤波器设计工具fdatool时,注意到FIR等波纹滤波器设计时需要输入一个density factor的参数(图1),发现互联网上没有详细的解释,就去看了下帮助文档英文解释,解释如下。图1图 2等波纹和复等波纹滤波器设计时,需要有一个density factor参数,这个参数控制了频率网的密度。提高这个参数的值可以使设计出的滤波器更加接近理...

2018-07-17 10:47:32 7243 4

转载 【转载】MATLAB基础指令操作

转载:原文地址 https://www.cnblogs.com/yhjoker/p/7702634.htmlMATLAB基础指令操作  由于课程实验需要学习使用了MATLAB,在此记录一下MATLAB的基本操作和命令,供参考与查阅。  学习过程中的资料也链接如下:  MATLAB矩阵运算:https://wenku.baidu.com/view/82b2d36fb84ae45c3b358ca0.h...

2018-07-06 12:01:00 404

原创 Does not match the target endianness, not loaded. 问题解决思路

疑问1、Does not matchthe target endianness, not loaded. Check project build options and targetconfiguration file (ccxml)相关参数:下载器:SEED XDS 560V2 USB Emulator芯片:TMS320C6416T电路板:非官方开发板,自己绘制CCS版本:C...

2018-06-04 20:55:48 4925 2

Verilog模块例化小工具

用于Verilog代码中模块的例化,可直接先将module部分转换成例化后的语句,并且实现代码对齐。使用MATALB2019a的APPdesigner编写

2022-09-12

MC34063DesignGUI.zip

1.MC34063DesignGUI; 个人根据TI的芯片手册整理出来的,使用MATLAB 2019a版本的appdesigner设计完成; 2.MC34063的datasheet以及优化设计的资料(TI和ST); 3.网上流传的一个关于MC34063电路辅助设计的软件

2021-08-04

雷达方程的推导UP.pdf

主要推导了单基地单脉冲雷达的基本方程

2021-08-03

数字信号中的谐波.doc

主要讲解了数字信号中的谐波的概念。这个是与Bai_DuWK上是一致的。

2021-07-10

【高清【非】影印版本】【华清远见】《FPGA应用开发入门与典型实例》(修订版)

华清远见的《FPGA应用开发入门与典型实例》电子书,非影印高清版本。

2019-03-05

希尔伯特变换数字正交-1

知网上关于希尔伯特变换的比较好的资料。主要是一些硕士毕业论文和部分期刊文章;主要内容是数字正交及用希尔伯特变换实现数字正交的方法。

2018-07-13

TMS320C6416 烧写程序及工具

说明: DSP flash 烧写工具,包括FBTC程序、Flashburn程序,和代码转换工具 PS:相当棒的程序,但是由于身边的开发板是非官方开发板,所以目前的程序还没有调试成功,但是所有的都是基于此程序的。

2018-06-22

雷达系统设计案例

雷达系统设计案例 《现代雷达系统分析与设计》第12章

2018-05-22

SourceInsight4.0+破解

SourceInsight4.0+破解文件+破解说明,亲测,有效果!

2017-11-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除