自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1164)
  • 资源 (13)
  • 收藏
  • 关注

原创 FIR 滤波器设计

与无限持续时间脉冲响应 (IIR) 滤波器相比,具有有限持续时间脉冲响应的数字滤波器(全零或 FIR 滤波器)既有优点又有缺点。FIR 滤波器具有以下主要优点:它们可以具有精确的线性相位它们始终稳定设计方法通常是线性的它们可以在硬件中高效实现滤波器启动瞬态具有有限持续时间。。相应地,这些滤波器的延迟通常比同等性能的 IIR 滤波器大得多。滤波器设计方法说明滤波器函数加窗对指定的矩形滤波器的截断傅里叶逆变换应用加窗多频带(包含过渡带)对频率范围的子带使用等波纹或最小二乘方法。

2022-07-18 10:00:00 7432 7

转载 xilinx FPGA 固化代码流程

XilinxVIVADO固化流程 纯verilog工程,不涉及SDK代码的固化流程: 综合,实现,生成比特流后,点击Tools—Generate Memory Cinfiguration File… 如图,选择所用FLASH的类型,设置生成mcs文件名,选择生成的比特流文件,文件保存路径自动显示为如图。板子上电,连好JTAG,Open Target后,点击Add Configuration Memory Device如图,...

2022-05-23 09:34:17 1993 2

原创 MATLAB中readmatrix函数用法

readmatrix函数的功能是从文件中读取矩阵。

2020-12-25 14:08:25 23805 4

原创 QuartusII安装器件库及遇到的问题解决

最近由于学习soc FPGA,需要Cyclone V的器件,于是就打开自己安装的QuartusII 18.0版本的软件,在器件选择的时候发现没有安装这个器件,于是到Intel FPGA官网去下载器件库,这里给出下载库的地址:器件库下载地址:https://fpgasoftware.intel.com/?edition=standard打开网址之后可以根据自己的需求下载需要的所需要的器件库文件,下载器件库文件的时候一定清楚自己安装的是什么类型版本以及版本的QuartusII软件,如图示所示: ..

2020-07-03 10:53:13 24129 9

原创 Matlab中lsim函数使用

lsim函数:lsim函数是针对线性时不变模型,给定任意输入,得到任意输出。lsim函数表示任意输入函数的响应,连续系统对任意输入函数的响应可以利用lsim函数求取。语法(常用):1.分子分母形式lsim(num,den,u,t)2.传递函数形式lsim(sys,u,t)3.状态空间形式lsim(A,B,C,D,u,t)其中,u为由给定输入序列构成的矩阵,它的每列对应一个输入,每行对应一个新的时间点,其行数与时间t的长度相等,其它的用法与step函数相同。...

2020-05-22 15:16:32 77236 1

原创 使用函数实现数据大小端转换

在数字芯片设计中,经常把实现特定功能的模块编写成函数,在需要的时候再在主模块中调用,以提高代码的复用性和提高设计的层次,分别后续的修改。C:函数function有一个返回值,缺省时默认返回1 bit的reg寄存器类型数据,任务task没有返回值;B:函数至少有一个输入变量,不能包含任何输出和双向端口,任务可以有任意多个输入、双向和输出变量;(2)任务可以描述组合逻辑和时序逻辑,可以有时延;(1)任务能调用任务和函数,但是函数只能调用函数,不能调用任务;D:函数不能启动任务,但是任务能启动其他任务或函数。

2024-04-26 13:41:29 148 1

转载 为什么串行接口速率比并行接口快?

串行传输一般就4根数据线,分成Rx两根差分线和Tx两根差分线,差分线总是往相反方向跳,可以抵消各自的跳变噪声,比如Rx的正极性发生跳变时会产生噪声,这种噪声可以被Rx的负极性以相反的跳变直接抵消掉(因为他们是差分信号对),总的噪声为0,杜绝了内部噪声。想控制也难,因为各个信号没有相关性,互相的沿变本身就是独立的,因为布线不同,很有可能一个跳的早点,另一个跳的晚点,再加上各个传输线电阻不同,噪声不同,传一会儿就分辨不出来哪个值对应哪个周期。这种速率,并行传输是根本做不到的,更不要说串行传输还能节省大量引脚。

2024-04-25 08:51:23 17 1

原创 MATLAB中roots函数用法

roots函数的功能是求解多项式的根。

2024-04-23 19:46:23 424 1

原创 MATLAB中Simulink.defaultModelTemplate用法

Simulink.defaultModelTemplate的功能是设置或获取默认模型模板。

2024-04-22 13:59:53 202 1

原创 4bit超前进位加法器电路

虽然RCA结构简单易于理解,但容易看出,每一位的运算结果SkS_kSk​都要依赖进位CkC_{k}Ck​才能得出。如下图所示,这会使得RCA的关键路径变得很长,而长关键路径会让电路难以满足时序要求。而根据之前的分析,RCA产生C4​需要3+2+2+2=9级路径。但LCA的逻辑门扇入扇出比较大,面积和复杂度都比较高。超前进位加法器的思想是并行计算进位Ck,以缩短关键路径。超前进位加法器是通过公式直接导出最终结果与每个输入的关系,是一种用面积换性能的方法。半加器是最简单的加法器。全加器是多bit加法器的基础。

2024-04-21 15:59:37 291 1

原创 异步复位的串联T触发器

需要注意寄存器翻转的逻辑,第二寄存器是否翻转取决于第一个寄存器是否为1,前者输出情况有三种:在data输入控制为1下从0到1到0不断翻转,data为0锁在1,data为0锁在0。在testbench中,clk为周期5ns的时钟,rst为低电平复位。输入信号 data, clk, rst。T触发器是进入的值为1的时候,寄存的值发生翻转;(其中Qn为现态,Qn+1为次态)

2024-04-20 17:46:09 514 2

原创 优先编码器电路①

本优先编码器,可采用case语句实现,注意到真值表中,出现了x状态,所以考虑采用casex语句实现。由真值表可以看出,当选择端是x时,输入端是任何状态,输出都将是1.①请用Verilog实现此优先编码器。下表是某优先编码器的真值表。注:题目分析来源网友,如有侵权请告删之。

2024-04-18 19:40:21 262 1

原创 MATLAB中findobj用法

findobj的功能是查找具有特定属性的图形对象。

2024-04-17 13:42:36 1035 1

原创 MATLAB2024a下载链接

链接: https://pan.baidu.com/s/1m8sCTkStWi0oMc52pwKrwg。MATLAB2024a下载链接,有需要的可以下载。

2024-04-16 16:12:05 165 2

原创 信号发生器

请编写一个信号发生器模块,根据波形选择信号wave_choise发出相应的波形:wave_choice=0时,发出方波信号;wave_choice=1时,发出锯齿波信号;wave_choice=2时,发出三角波信号。wave_choise:2比特位宽的信号,根据该信号的取值不同,输出不同的波形信号。wave:5比特位宽的信号,根据wave_choise的值,输出不同波形的信号。产生锯齿波时,需要从0增加到20,所以周期是21。由波形图得知,刚进入三角波模式时,注:解题分析来源于网友,如有侵权,请告删之。

2024-04-16 16:09:37 437 1

原创 根据状态转移图实现时序电路

由状态转换图可得出,电路共4个状态,所以使用2个寄存器来实现状态的寄存。两个寄存器的输出为Q1和Q0,两个寄存器的输入为D1和D0。本题提供的是状态转换图,可采用状态机实现,也可采用列激励方程、输出方程,进而用D触发器和组合逻辑电路实现。本题解采用第二种方案实现。某同步时序电路的状态转换图如下,→上表示“C/Y”,圆圈内为现态,→指向次态。请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。电路的接口如下图所示,C是单bit数据输入端。注:解题分析来源于网友,如有侵权,请告删之。

2024-04-13 17:08:01 365 1

原创 不重叠序列检测

请编写一个序列检测模块,检测输入信号(a)是否满足011100序列, 要求以每六个输入为一组,不检测重复序列,例如第一位数据不符合,则不考虑后五位。当信号满足该序列,给出指示信号match。请使用Verilog HDL实现以上功能,要求使用状态机实现,画出状态转化图。not_match:当输入信号a不满足目标序列,该信号为1,其余时刻该信号为0。match:当输入信号a满足目标序列,该信号为1,其余时刻该信号为0。注:解题分析来源于网友,如有侵权,请告删之。为启动态,系统复位时,处于该状态。

2024-04-12 15:11:46 433 1

原创 用优先编码器①实现键盘编码电路

对照此键盘编码电路真值表和编码器的真值表可以看出,将编码器输出反向后,就可以实现表格中蓝色的部分功能。按键悬空时,按键输出高电平,按键按下时,按键输出低电平;用S_n[0]~S_n[9]表示10个按键,分别对应编码器的10个输入端,工作状态用GS表示,当有按键按下时,GS是1,当无按键按下时,GS是0.请使用优先编码器①实现键盘编码电路,可添加并例化题目中已给出的优先编码器代码。要求:键盘编码电路要有工作状态标志,以区分没有按键按下和按键0按下两种情况。注:解题分析来源于网友,如有侵权,请告删之。

2024-04-11 15:17:32 364 1

原创 使用3-8译码器①实现逻辑函数

由于译码器的输出为最小项取反,而逻辑函数可以写成最小项之和的形式,故可以利用附加的门电路和译码器实现逻辑函数。由于译码器的输出为最小项取反,下面需要将表达式中的最小项转换为最小项取反的形式。由上式可知,采用与非门即可实现该电路的组合逻辑输出。下表是74HC138译码器的功能表.②请使用3-8译码器①和必要的逻辑门实现函数。注:解题分析来源于网友,如有侵权,请告删之。逻辑式转换为最小项的形式。

2024-04-10 16:46:10 882 1

原创 输入序列不连续的序列检测

请编写一个序列检测模块,输入信号端口为data,表示数据有效的指示信号端口为data_valid。当data_valid信号为高时,表示此刻的输入信号data有效,参与序列检测;当data_valid为低时,data无效,抛弃该时刻的输入。当输入序列的有效信号满足0110时,拉高序列匹配信号match。下面的代码中,被注释掉的部分是符合题目要求的。match:当输入信号data满足目标序列,该信号为1,其余时刻该信号为0。data_valid:输入信号有效标志,当该信号为1时,表示输入信号有效。

2024-04-09 09:18:01 251 1

原创 MATLAB中rmoutliers函数用法

例如,rmoutliers(A,"movmean",5) 将一个包含五个元素的窗口中与局部均值相差超过三倍局部标准差的元素定义为离群值。要删除每个包含(检测到的)离群值的矩阵列或表变量,请将维度指定为 2。查找离群值在 A 中的位置(相对于 t 中的点,窗口大小为 5 个小时),并删除这些离群值。指定 A 中要删除离群值的维度,当使用任何上述语法检测到离群值时,将删除该维度上的条目。如果 window 是由正整数组成的二元素向量 [b f],则窗口包含当前元素、其之前的 b 个元素和之后的 f 个元素。

2024-04-08 11:06:23 1055 1

原创 【牛客网题目】链表内指定区间反转

2调用3完成局部反转后,会弹栈,每次弹出其实是当前父函数里head的下一个结点反转后的链表,只需要重新的铜鼓当前的head->next = reversePart。根据head->next, m-1, n-1,当m=1的时候,也正是反转开始结点,此时的n结点也正好是反转的结束结点。将一个节点数为 size 链表 m 位置到 n 位置之间的区间反转,要求时间复杂度O(n),空间复杂度O(1)。给出的链表为 1→2→3→4→5→NULL, m=2,n=4m=2,n=4,返回 1→4→3→2→5→NULL.

2024-04-07 16:36:57 354 1

原创 根据状态转移表实现时序电路

某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。本想着用状态机,不过题目要求使用D触发器,差点没想出来。注:解题分析来源于网友,如有侵权,请告删之。电路的接口如下图所示。

2024-04-06 16:23:13 370 1

原创 MATLAB中islocalmax函数用法

例如,islocalmax(A,'SamplePoints',t) 根据时间向量 t 中包含的时间戳计算 A 的局部最大值。​当在 A 的对应元素中检测到局部最大值时,TF = islocalmax(A) 将返回元素为 1 (true) 的逻辑数组。islocalmax(A,1) 根据 A 的每列中的数据计算局部最大值,并返回一个 m×n 矩阵。islocalmax(A,2) 根据 A 的每行中的数据计算局部最大值,并返回一个 m×n 矩阵。计算包含连续最大值的数据的局部最大值。根据相对高差选择最大值。

2024-04-03 13:17:25 883 1

原创 移位运算与乘法

根据寄存器的原理,由于是二进制,所以进位和退位为x2或者/2,同样除7可以使用进位3然后减去本身的做法,这样就将乘除法运算转化为位运算,这是一种比较简单的整数运算处理。需要给出一个计数器的状态机,注意d输入不是随时有效的,只有在cnt计数为0的那个时钟沿,d输入有效,因此需要设计一个寄存器din,在cnt为0时候锁存d的值。已知d为一个8位数,请在每个时钟周期分别输出该数乘1/3/7/8,并输出一个信号通知此时刻输入的d有效(d给出的信号的上升沿表示写入有效)输入信号 d, clk, rst。

2024-04-02 17:17:53 511 1

原创 Simulink 环境基础知识(三十二)--格式化模型

要更改模块、信号标签、区域或注释的字体,请选择元素,然后在。

2024-04-01 14:19:56 1234 1

原创 MATLAB中feof函数用法

已打开文件的文件标识符,指定为整数。在检测文件末尾状态之前,必须使用 fopen 打开文件并获取有效的文件标识符 fileID。返回文件末尾指示符的状态。如果之前的操作为指定文件设置了文件末尾指示符,feof 将返回 1。打开空文件不会设置文件末尾指示符。读取操作以及 fseek 和 frewind 等其他操作会移动文件位置指针。此函数将指定一个唯一的文件 ID,用于读取和写入文件。从这个 badpoem.txt 文件中一次读取一行,一直到文件的末尾。一次读取并显示一行,一直到文件的末尾。

2024-03-27 14:57:08 329 1

原创 数据选择器实现逻辑电路

当AB=00时选通D0而此时L=0,所以数据端D0接0:当AB=01时选通D1,由真值表得此时L=C,即D1应接C:当AB为10和11时,D2和D3分别接~C和1。将变量A、B接入4选1数据选择器选择输入端S0 S1。将变量C分配在数据输入端。从表中可以看出输出L与变量C的关系。数据选择器代码如下,可在本题答案中添加并例化此数据选择器。请使用此4选1数据选择器和必要的逻辑门实现下列表达式。注:解题分析来源于网友,如有侵权,请告删之。A接S1,B接S0。

2024-03-27 14:54:37 405 1

转载 FPGA电源设计总结

该电压应该与其它连接到FPGA上的器件的电压匹配,因为FPGA经常要与多种不同电平接口的芯片通信,所以都会支持非常多的电平标准,这也是它的灵活性表现。FPGA的电源主要由核电VCCINT,block RAM供电VCCBRAM,辅助电压VCCAUX和VCCAUX_IO,IO电压VCCIO,高速GTX接口电压VMGTAVCC,VMGTAVTT,VMGTVCCAUX,VMGTAVTTRCAL等电压组成。*需要注意的是内核电压和IO电压的上电顺序,一般是要求前者先上电,因此在电源电路设计的时候需要进行时序控制。

2024-03-27 14:53:17 57 1

原创 Verilog 仿真可视化

DigitalJS 是一个基于 JavaScript 实现的开源数字电路模拟器,旨在模拟由硬件设计工具(如 Yosys)合成的电路。Yosys 有很多我们通常不使用的功能,包括生成带有设计原理图的 graphviz 文件的能力,尽管它们并不像这样清晰。很高兴看到它与Falstad 作为模拟引擎结合在一起。yosys2digitaljs: 该程序将由Yosys电路综合软件生成的JSON网表输出转换为DigitalJS图形电路模拟器可用。上图电路中,点击 a 按钮, LED b 会变为红色。

2024-03-26 15:52:47 407 1

原创 Matlab中mpower函数用法

mpower函数的功能是求解矩阵幂。

2024-03-25 20:22:28 410 1

原创 MATLAB中Filter Designer的使用

MATLAB中滤波器的设计

2024-03-22 09:38:15 280 1

原创 模拟 IIR 低通滤波器的比较

设计截止频率为 2 GHz 的五阶模拟 Butterworth 低通滤波器。乘以 2π 以将频率转换为弧度/秒。计算滤波器在 4096 个点上的频率响应。n = 5;f = 2e9;[zb,pb,kb] = butter(n,2*pi*f,'s');[bb,ab] = zp2tf(zb,pb,kb);[hb,wb] = freqs(bb,ab,4096);设计一个具有相同边缘频率和 3 dB 通带波纹的五阶 Chebyshev I 类滤波器。计算它的频率响......

2024-03-21 13:41:06 232 1

原创 MATLAB中多项式的根

此示例演示如何通过多种不同的方法计算多项式的根。数值根使用代换法求根特定区间内的根符号根。

2024-03-19 11:09:13 1218 1

原创 STM32工具使用--J-Flash烧录程序

我使用的是PAC控制芯片,PAC芯片是active-semi公司的电机控制系列芯片,我第一次听到这个公司名,也是不知道这是哪个公司,但查了一下才知道,这公司在电机与电源部分还是非常牛的(虽然现在active-semi公司已经被收购啦)。最近客户那边需要给他们烧程序,他们需要把板子给寄给我,我烧写好之后又发回去,这样一来一回就浪费不少时间,而且也比较麻烦,所以最近给它们出了一个如何烧写.hex程序文件的步骤,这样以后就不用再麻烦自己给他们烧写了,他们根据教程就能完成。

2024-03-18 16:58:31 753 1

原创 Verilog中`include的用法

例如只写成 `include "timescale.v" 是不行的,要使用绝对路径,如 `include "F:/Test_prj/rtl/timescale.v"。在QuartusII或者Vivado等其它FPGA编译平台时会使用include文件的情况,但是这样就会涉及到路径问题,如果不添加文件路径,编译时会报错误。`include "../src/include/timescale.v"或`include "src/include/timescale.v"

2024-03-18 11:03:26 401 1

原创 MATLAB中比较文件和文件夹以及合并文件

可以使用比较工具来显示选定文件或文件夹对组之间的差异。对于某些文件类型,还可以将更改从一个文件合并到另一个文件中。

2024-03-17 19:14:05 647 1

原创 MATLAB中visdiff函数用法

语法说明打开比较工具并显示两个文件或文件夹之间的差别。比较工具支持 MATLAB® 代码文件、zip 文件、MAT 文件和各种其他 MATLAB 和 Simulink® 文件格式。有关完整列表,可以参考输入参数。使用 visdiff 来比较两个 Simulink 模型,确定变化并合并差异。有关详细信息,可以参考模型比较 (Simulink)。使用指定的比较类型比较两个文件。如果未指定类型,visdiff 将使用适合选定文件的默认比较类型。只能使用此语法来比较文件。

2024-03-15 08:42:58 1006 1

原创 MATLAB中uitabgroup函数用法

uitabgroup 的属性值略有不同,具体取决于该 App 是使用 figure 还是 uifigure 函数创建的。可以创建一个对象,该对象根据父容器的大小重新缩放,方法是将使用 uigridlayout 函数创建的一个网格布局管理器作为该对象的父级。在新脚本中,在 UI 图窗中创建一个具有两个选项卡的选项卡组。它们允许标识选定的选项卡,并检测用户何时选择了不同的选项卡。向该选项卡添加六个 UI 组件,前三个组件位于选项卡的上边框的外部。例如,可以指定选项卡组的位置或选项卡标签的位置。

2024-03-14 14:01:03 932 1

原创 MATLAB中格式化文本处理

要将数据转换为文本并控制其格式,可以将格式化操作符与常见的转换函数(如 num2str 和 sprintf)结合使用。这些操作符可控制记数法、对齐方式、有效位数以及其他内容。它们与 C 编程语言中的 printf 函数使用的操作符类似。格式化文本的典型用途包括用于显示和输出文件的文本。例如,%f 使用定点记数法将浮点值转换为文本。通过为该操作符添加信息来调整格式,例如使用 %.2f 表示小数点后两位数,或使用 %12f 表示输出中的 12 个字符,并根据需要用空格填充。

2024-03-13 09:03:09 756 1

CRC校验模块代码生成

主要采用Verilog代码实现的CRC校验,有需要的可以进行下载。

2023-12-20

DDS信号发生器代码模块

DDS信号发生器代码模块,能够用于系统的扫频使用。

2023-12-20

Springboot框架项目实战-电商平台《伴我汽车》

项目介绍:“伴我汽车”电商平台系统是全新汽车电商平台,用于整车在网络上的活动推广、信息搜集、资讯、购车推荐等相关业务的开展。涵盖了所有移动端(H5)用户。主要功能有:首页推荐展示、线上活动、内容资讯、选车工具、商品介绍、用户中心等相关的业务。在后台对应一套相关的运营管理系统,主要功能包括:登录、用户管理、资讯管理、线上活动管理、商品管理、选车工具等相关功能。

2023-09-11

60多个经典项目珍藏版

C语言项目,里面含有各种模块可以直接使用,用于基础开发是个很不错的例子。

2023-09-11

Sync-Pulse单bit实现

采用Verilog实现单bit位跨时钟域处理,信号从快慢时钟域中转换。

2023-09-11

PWM波形的simulink仿真文件

使用simulink完成PWM仿真的实现,可以自己动手进行调试。

2023-09-11

MATLAB+估计规划+滤波+卷积

MATLAB的一些小程序,包括滑动平均、轨迹规划、卷积运算、音频分析等。

2023-09-11

PCIE的工程实现项目

PCIE的项目工程文件,有需要的可以下载。

2023-08-09

SystemVerilog验证说明

主要是SystemVerilog验证说明文档,有需要的可以自行下载看看。

2023-03-31

ZYNQ PL SFP 光口通信例

本文主要介绍说明 XQ6657Z35-EVM 高速数据处理评估板 SPF 光口通信例程的功能、使 用步骤以及各个例程的运行效果。

2023-03-21

DE1-SoC-User-manual-ref

学习DE1-SOC FPGA的资料,有需要的可以下载看看。

2023-01-29

XILINX-时序约束使用指南中文

XILINX_时序约束使用指南中文

2023-01-05

伺服电机控制代码,有需要的可以下载看看

伺服电机控制参考代码,可以学习一下。

2022-11-09

Verilog Testbench设计技巧和策略.zip

仿真文档。

2021-08-28

Modelsim仿真技巧文档.zip

FPGA仿真技巧文档。

2021-08-28

电机控制学习笔记.zip

电机控制学习的文档

2021-08-27

zdxhclysjfx.zip

振动信号的处理文档

2021-08-26

自动调节系统解析与PID整定.zip

讲述PID控制的一些方法和自调整技术

2021-08-21

PMSM_System.zip

PMSM_System.zip

2021-06-21

srqcwzfpga.zip

FPGA学习文档资料

2021-02-20

alien_invasion_python.7z

alien_invasion_python.7z

2021-01-14

观测器的运用.pdf

George Ellis大神的另外一本著作Observers in Control System - A Practical Guide-George Ellis,主要是将观测器的,有需要的可以下载看看。

2020-12-17

FPGA学习资料.pdf

FPGA基础学习资料,介绍关于FPGA的组成,FPGA仿真的内容,对入门学习FPGA的有很好的帮助。

2020-06-11

ifft_sim.rar

ifft变换,同时使用了fft变换,使用Verilog语言实现,比较实用的变换。

2019-07-09

BM3D图像去噪算法

BM3D三维块匹配算法,对于学习图像处理有比较号的效果

2016-06-16

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除