自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(27)
  • 资源 (5)
  • 收藏
  • 关注

转载 fsdb与vcd的区别

2018-08-11 14:40:24 4083

原创 VIVADO与VCS联合搭建仿真环境

 利用tcl脚本将文件添加到vivado中,解决掉所有的warning,保证综合和仿真目录下没有warning。1.1 文件属性设置(1)设置头文件由于工程中可能大量使用头文件,会产生找不到参数的情况。在tcl中注意对头文件进行设置。首先通过add_files 添加文件,然后通过set_property file_type {Verilog Header} [get_files x...

2018-08-11 14:35:14 13677 1

原创 一些代码检查的方法

ncsim检查主要是一些编译检查,保证代码能够正确编译。nlint检测会检查代码的语法语义错误,可实现对代码的时钟、命名规则的检查,确保了程序的健壮性。cdc主要是做跨时钟域路路径的分析。可以通过spyglass工具来实现这些检查,也可以通过专门的工具实现。例子:nlintnLint  -f  filelist  -out filename.nlint  -verilog ...

2018-08-11 14:09:12 6065

原创 AXI协议一些定义的区分

1. outstanding 与out-of-order正常情况下的master与salve的操作是:读操作:master发送read addr,slave返回data,然后master发送下一笔read addr,salve返回下一笔data;写操作:master发送write addr和data,salve返回response,然后master发送下一笔write addr和dat...

2018-07-19 17:12:33 3706 1

原创 英特尔案例

学习张潇雨老师的案例公开课,总结一下开启新的时代       英特尔可以说是现代科技公司的一个奠基者,是现代科技的开端。不管我们觉得苹果、Googl、Facebook、亚马逊、特斯拉多么的厉害,但是如果没有因特尔,也许这些公司都不会存在。而且,英特尔的影响不仅仅是从半导体到个人电脑智能手机等这个层面,还包括了企业的管理思想、团队的组织架构以及公司文化影响等等。       对于英特尔的

2017-08-12 16:23:21 1631

转载 synopsys软件介绍

Synopsys的产品线覆盖了整个IC设计流程,使客户从设计规范到芯片生产都能用到完备的最高水平设计工具。公司主要开发和支持基于两个主要平台的产品, Galaxy设计平台和Discovery验证平台。这些平台为客户实现先进的集成电路设计和验证提供了整套综合性的工具。Synopsys解决方案包括:  · System Creation(系统生成)  · System Verificati

2016-11-21 20:17:05 15932

转载 verilog中的综合与不可综合

1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,

2016-11-21 10:28:24 516

转载 VCC AVCC VDD AVDD区别

V*与AV*的区别是:数字与模拟的区别CC与DD的区别是:供电电压与工作电压的区别(通常VCC>VDD);数字电路供电VCC 模拟电路供电AVCCVDD是指工作电压,就是供电进芯片的 AVDD是模拟电压或者叫模拟正电源,是从芯片向外供电的1、对于数字电路来说,VCC是电路的供电电压,VDD是芯片的工作电压(通常Vcc>Vdd),VSS是接地点。2、有些I

2016-11-04 20:59:18 2103

转载 if [-e $file]

检测选项含义-r 文件或目录对此(有效的)用户(effective user)或组是可读的-w 文件或目录对此(有效的)用户或组是可写的-x 文件或目录对此(有效的)用户或组是可执行的-o 文件或目录由本(有效的)用户所有-R 文件或目录对此用户(real user)或组是可读的-W 文件或目录对此用户或组是可写的-X 文件或目录对此用户或组是可执行的-O 文件或目

2016-10-26 17:48:15 1088

原创 AXI3和AXI4区别--端口篇

表 2-1 全局信号信号名源描述ACLK  时钟源  全局时钟信号ARESETn  复位源全局复位信号,低有效表 2-2写地址通道信号信号名    源    描述      AWI

2016-10-15 19:31:03 7385

原创 通信网络

本篇为学习笔记,刚开始接通信网络,先弄明白一个大体的框架。 1. 首先,一提到通信网络就不可避免的面对两个网络机制:移动网和固网。   顾名思义,移动网是将一定网络与互联网结合起来,随着3G4G的发展,移动互联网有了长足的发展。固网就是固定电话网络,现在一般指固定电话网和宽带网络。虽然一个移动,一个固定,但是殊途同归,虽然移动通信的发展让我们看起来可以随时是随地的不受束缚的移动,但是移

2016-09-24 14:56:31 1727

转载 自然二进制和格雷码之间的转换

(1)自然二进制数转换到格雷码设有 N 位二进制数 B(i),其中 0 二进制数与格雷码的转换公式如下:  G(i) = B(i+1) XOR B(i) ; 0   G(i) = B(i)            ;      i = N - 1如果是通过编程计算进行变换,就需要使用这个公式逐位的计算;如果是使用硬件电路进行变换,就可以使用做而论道前面在回答问题时给出

2016-01-27 17:07:20 3208

原创 两种系统启动&加载QT库

在上一篇中我们已经将SD卡分为FAT区和EXT4区,其实EXT4主要是为了实现添加linaro系统用的,因为系统所占用的空间还是比较大的,所以在分区时给它留了比较大的空间。如果我们不需要进行界面化的操作完全可以使用系统镜像来完成,例如在刚出厂的demo中就是使用的8M的系统镜像来完成的。在这里我们分别介绍一下使用linaro模式和busybox模式1)linaro模式:也是完整的系统模式,

2016-01-19 12:35:02 721

原创 SD卡分区创建详细教程

在进行zedboard的linaro实验时我们需要对所使用SD卡进行分区,需要分成fat和ext4两种类型的分区,ext4为linux系统分区在window系统不能查看。有的博客说可以在window下用PM9进行分区,试了一下,没有成功。那里好像没有ext4的选项。     所以就选择了ubuntu系统进行分区,这样还是比较简单的。下面就是步骤:1.在linux系统下搜索Disk会

2015-12-18 11:21:42 6239

原创 sudo apt-get install g++ 出现“g++ : Depends: g++-4.8 (>= 4.8.2-5~) but it is not going to be installed

Ubuntu 14.04通过 sudo apt-get install g++ 出现“g++ : Depends: g++-4.8 (>= 4.8.2-5~) but it is not going to be installed”错误。是因为ubuntu 14.04的源过旧或不可访问导致,可以通过更新源解决。首先,备份原始源文件source.list  sudo cp

2015-12-15 15:57:14 3881

原创 解决warning:implicit declaration of function 'Xil_Out32' [-Wimplicit-function-declaration]

在SDK软件编译时出现  warning: implicit declaration of function 'Xil_Out32' [-Wimplicit-function-declaration]  是因为函数是隐函数,找不到相应的显函数。应该将隐函数的定义库文件包含进去。 例如: 调用AUDIO_mWriteReg(BaseAddress, RegOffset, Data) \ Xi

2015-10-21 08:29:13 8280

原创 使用AD9361实现FM调频接收机接受广播

最近研究zedboard+ad9361平台的软件无线电。ADI官网提供了很详细的例程,https://wiki.analog.com/resources/eval/user-guides/ad-fmcomms2-ebz今天试了一下利用AD9361接受调频广播的功能。1.首先将发射通道在iio_debug中关闭,就是将0x002寄存器的值写为0。然后接受通道设置为RX1接受,即将0x003寄

2015-10-16 15:44:19 7489 1

原创 WIN8.1安装USB to UART经验

问题1:笔记本中的设备管理中没有发现端口(COM和LPT)这个选项解决办法:右键点击最上方的你的电脑名->添加过时硬件->下一步->手动从列表中安装->端口(COM和LPT)问题2:我们的设备驱动安装不正确,不出现对应的COM口解决办法:因为系统自动设置为自动更细驱动,所以我们的设备连接之后就被系统自以为是的更新到最新。但是这不是我们想要的驱动!所以就需要我们手动将系统自动更新

2015-10-10 16:33:46 1262

原创 奇偶校验

奇偶校验码是奇校验码和偶校验码的统称,是一种最基本的检错码。说白了:奇校验和偶校验就是附加上一个0或者1让数据中的1为奇数或者偶数。例如:偶校验:a、如果传输的数据1的个数为偶数,则校验位为0              b、如果传输的数据1的个数为奇数,则校验位为1---凑成偶数个1module even_odd( //--input---- dat

2015-08-26 10:51:10 1677

转载 存储器介绍(RAM/ROM/SRAM/DRAM)

1.RAM(随机存取存储器)RAM -random access memory 随机存储器。存储单元的内容可按需随意取出或存入,且存取的速度与存储单元的位置无关的存储器。这种存储器在断电时将丢失其存储内容,故主要用于存储短时间使用的程序。 按照存储信息的不同,随机存储器又分为静态随机存储器(Static RAM,SRAM)和动态随机存储器(Dynamic RAM,DRAM)。2.ROM是只读存

2015-08-22 11:14:56 2751

原创 门控时钟

使用门控时钟可以起到节约能耗的作用。这里只是简单额仿真一下利用门控时钟近视时钟的控制。我们控制如果输入的数据是一长串的0或1则时钟保持不变,这样在传输这段数据的时候就会降低能耗。`timescale 1ns / 1ps////////////////////////////////////////////////////////////////////////////////////

2015-08-14 10:48:58 1498

原创 可输入初始值得60进制计数器

`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 09:04:55 08/13/2015 // Design Name: // Modul

2015-08-13 10:09:42 1580 1

原创 异步复位同步释放

在设计中我们一般都采用异步复位的设计方法,但是对于异步复位而言(假设是低电平有效),如果我们的复位信号释放的时候,如果和时钟沿太接近了就会导致D触发器处于亚稳态。为了避免亚稳态的产生我们一般采用的复位方法是“异步复位、同步释放”!    所谓的异步复位就是复位的时候我们不考虑时钟的影响,只要有复位信号就产生复位,它只是一个组合逻辑。而同步释放就是复位信号得消失要遵循时钟的指令,必须与时钟进行同

2015-07-23 14:59:42 872

原创 同步复位与异步复位比较分析

置位与复位:置位就是将初始状态变为1;复位就是将初始状态变为0.同步复位原理:同步复位是指只有在时钟沿到来的时候复位信号才起作用。复位信号时间应该持续一个时钟周期才能保证系统稳定。异步复位原理:与时钟沿无关只要有复位信号到来就执行复位。这时我们需要将复位信号置于always的事件控制括号中。1.同步复位如果我们设置的为上升沿采样数据的话,同步复位只有在上升沿到来时才会产生复

2015-07-15 10:37:12 1041

原创 时钟的奇数分频

在时钟分频技术中,对于偶数倍分频是比较好做的,实现2N倍的分频,只需计数到N,然后让时钟进行翻转即可。但是在奇数倍分频中,我们总会面0.5的关系。例如实现5倍分频,也就是计数到2.5,然后时钟进行翻转。可是2.5是没有办法计数的。因此我们就得另寻它法了。假如我们有两个时钟,clk1和clk2。如果时钟clk1的高电平持续2个周期,而clk2的低电平持续3个时钟周期,并且两者的时间错开半个周

2015-07-15 09:47:18 2734

原创 SDK编译数学函数出错:"undefined reference to 'cos/sin'

环境:vivadio2014.4 在用SDk中如果使用了数学函数,像三角函数、开方等等必须要把数据函数库包含进去。因为默认的库中是不包含math库的。在project ->Propreties 中如下图之后再编译工程就不会出错了!!!

2015-06-26 09:03:30 1864

转载 “新硬件时代”来了?

来源:解放日报2015.5.25.记者:龚丹韵       新硬件制造  美国正在发生一场包括互联网、云计算、大数据、人工智能、生物科技等在内,由创客引导、以硬件为表现形式的新经济业态。它以大量创客的奇思妙想为起点,以软件和硬件高度结合为特征。  未来,我们会看到路上跑的是无人驾驶汽车,发电用的是清洁能源,大量工种被机器替代,这一天已经不远了。还有细

2015-06-26 08:52:36 631 1

科技周期研究报告的凑字数

科技周期研究报告的凑字数

2021-06-26

2021年中国半导体行业发展研究报告(免费).pdf

2021年中国半导体行业发展研究报告(免费).pdf

2021-06-26

Verilog的135个经典设计实例

Verilog的135个经典设计实例,主要是一些小例子,可以借鉴一下

2014-09-05

virtex5中文用户指南

virtex5中文用户指南,xilinx的FPGA芯片指南,讲的很详细

2014-09-05

Verilog HDL数字设计与综合 (第二版)

Verilog HDL数字设计与综合 (第二版)。夏宇闻翻译的国外FPGA的教材,很实用

2014-08-13

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除