自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(670)
  • 资源 (292)
  • 收藏
  • 关注

原创 boost 系列五 assign和format

boost::assign是boost中一个对容器进行赋值的库,各种方法非常灵活使用。先举一个例子:[cpp] view plaincopy/**   2 @author Amiber   3 @date 2012-12-15   4 **/    5     6 #include   //for std::cou

2016-12-09 20:34:16 542

原创 Linux的PCI驱动介绍(入门)

1. 关键数据结构PCI设备上有三种地址空间:PCI的I/O空间、PCI的存储空间和PCI的配置空间。CPU可以访问PCI设备上的所有地址空间,其中I/O空间和存储空间提供给设备驱动程序使用,而配置空间则由Linux内核中的PCI初始化代码使用。内核在启动时负责对所有PCI设备进行初始化,配置好所有的PCI设备,包括中断号以及I/O基址,并在文件/proc/pci中列出所有找到的PCI设备,以及这些设备的参数和属性。Linux驱动程序通常使用结构(struct)来表示一种设备,而结构体中的变量则代表

2021-12-01 19:48:09 5800 1

转载 Linux CPU占用率监控工具小结

Linux CPU占用率监控工具小结关键词:top、perf、sar、ksar、mpstat、uptime、vmstat、pidstat、time、cpustat、munin、htop、glances、atop、nmon、pcp-gui、collectl。1. toptop是最常用的查看系统资源使用情况的工具,包括CPU、内存等等资源。这里主要关注CPU资源。1.1 /proc/loadavgload average取自/proc/loadavg。9.53 9.12 8.3

2021-09-29 16:43:28 1149

原创 PCIe体系结构介绍

PCI总线作为处理器系统的局部总线,主要目的是为了连接外部设备,而不是作为处理器的系统总线连接Cache和主存储器 PXI 规范是CompactPCI规范的扩展 ,面向仪器系统的PCI扩展 PCI Express的接口根据总线位宽不同而有所差异,包括X1、X4、X8以及X16。较短的PCI Express卡可以插入较长的PCI Express插槽中使用。第I篇 PCI体系结构概述第1章PCI总线的基本知识PCI Express总线简称为PCIe总线,PCI-to-PCI...

2021-08-27 11:53:23 6272

原创 win7(X64)+wdk7驱动环境搭建

我们知道,编译驱动程序主要是通过两种方案:第一种是通过WinDDK提供的build命令+source文件进行编译;另外一种是通过VC的IDE进行编译。微软推荐开发者使用第一种,即利用WinDDK提供的编译命令进行编译,但是,本质上,两种方法到最后都是调用cl.exe和link.exe进行编译,区别只是两种方法设置参数、环境变量的方式不同而已。1、准备 wdk7下载地址: https://www.microsoft.com/en-us/download/details.aspx?...

2021-08-25 19:20:52 1281

转载 VS2010 + WinDDK 搭建驱动开发环境

需要研究一下Windows驱动开发。我们知道,编译驱动程序主要是通过两种方案:第一种是通过WinDDK提供的build命令+source文件进行编译;另外一种是通过VC的IDE进行编译。微软推荐开发者使用第一种,即利用WinDDK提供的编译命令进行编译,但是,本质上,两种方法到最后都是调用cl.exe和link.exe进行编译,区别只是两种方法设置参数、环境变量的方式不同而已。环境准备VS2010这个能够在msdn下载中心下载到试用版,当然,也可以通过其他途径,你懂的。WinDDKh

2021-08-25 11:35:01 995

转载 Windows驱动开发WDM

Windows驱动这次重新阅读《windows驱动开发技术详解》(张帆,史彩成等编著),写博客记录一下,用以加深自己对驱动的理解。驱动对象(DRIVER_OBJECT)每个驱动程序会有唯一的驱动对象与之对应,并且这个驱动对象是在驱动加载的时候,被内核中的对象管理程序所创建的。驱动对象用DRIVER_OBJECT来表示,内核对一个驱动只加载一个实例。对于DRIVER_OBJECT的说明,详见:http://msdn.microsoft.com/en-us/library/windows/h

2021-08-25 11:25:43 1133

转载 Linux驱动mmap内存映射

mmap在linux哪里?什么是mmap?上图说了,mmap是操作这些设备的一种方法,所谓操作设备,比如IO端口(点亮一个LED)、LCD控制器、磁盘控制器,实际上就是往设备的物理地址读写数据。但是,由于应用程序不能直接操作设备硬件地址,所以操作系统提供了这样的一种机制——内存映射,把设备地址映射到进程虚拟地址,mmap就是实现内存映射的接口。操作设备还有很多方法,如ioctl、ioremapmmap的好处是,mmap把设备内存映射到虚拟内存,则用户操作虚拟内存相当于直接操作设备了,

2021-08-18 17:20:02 1696

转载 两种以太网 RDMA 协议: iWARP 和 RoCE

本文是讲演How Ethernet RDMA Protocols iWARP and RoCE Support NVMe over Fabrics【1】的摘要。如果 NVMe 存储系统与主机是分离的,显然需要某种 fabric 把它们连接,这样主机才能使用存储系统。目前,支持远程连接的 fabric 包括:RDMA 协议:底层可以是以太网( RoCE 或者 iWARP )或者 Infiniband Fibre Channel 协议:底层可以是 FC 网络或者以太网(FCoE)一、为什么需要 R.

2021-07-22 11:44:52 2535

转载 面向对象的三大基本特征,五大基本原则

目录  一、三大基本特征:封装、继承、多态      1、封装      2、继承      3、多态  二、五大基本原则      1、单一职责原则(SRP)      2、开放封闭原则(OCP)      3、里氏替换原则(LSP)      4、依赖倒置原则(DIP)      5、接口隔离原则(ISP)  网上的讲解很多,但大都类似,这里进行转载整理。三大基本特征是理解五大基本原则的前提。一、三大基本特征:封装、继承、多态  1、封装  封装就是隐藏对象的属性和实现细节,仅对.

2021-06-09 11:00:05 147

转载 net use命令详解

本文为转载内容,感谢原作者辛勤劳动。原链接为:http://www.cnblogs.com/chinahbzm/articles/1423875.html1)建立空连接:net use \\IP\ipc$ "" /user:"" (一定要注意:这一行命令中包含了3个空格)2)建立非空连接:net use \\IP\ipc$ "密码" /user:"用户名" (同样有3个空格)3)映射默认共享:net use z: \\IP\c$ "密码" /user:"用户名" (即可将对方的c盘映...

2021-03-15 11:04:22 1516

原创 Windows C++ 查找指定目录的进程是否在运行

一 查找固定进程的代码 DWORD ProcessHelper::FindProcessByName(const std::string& processName)//0 not found ; other found; processName "processName.exe" { HANDLE hProcessSnap; PROCESSENTRY32 pe32; hProcessSnap = CreateToolhelp32Snapshot( ...

2020-12-24 14:31:43 622

原创 使用mstest.exe 命令行跑test case(不安装Visual Studio 2010)

如标题说:怎样在没有安装VS2010的环境里用mstest.exe跑test case?(注:以下实验在64位Windows 7上通过)。以前主要是做c++开发的,很多工具用起来非常方便,如gtest等;而c#的vs却没有类似集成工具,依赖比较多,从网上找到一篇文章如下,时间关系暂时还没有来得及试验是否真的有效。如朋友们有时间可以试验之后回复,谢谢。原文如下(https://www.cnblogs.com/jenneyblog/archive/2012/09/14/mstestcommandli

2020-10-26 10:20:50 495 1

转载 SCP、DICOM、HL7aECG、GDF格式及对比

本文档首先给出SCP、DICOM、HL7aECG、GDF四种心电信号格式的具体数据结构,然后分析其各自的特点及适用范围。一、SCP-ECG format 这种格式是专门针对ECG数据的标准格式,其中包含了ECG数据波形,患者信息,ECG采集信息以及测量诊断信息等丰富内容。 SCP-ECG格式主要分为Title(2 bytes for CRC-checksum and 4 bytes for size of ECG record)和Section0-Section11两部分。其中T...

2020-10-23 13:29:24 2360

转载 c++并行计算库TBB和PPL的基本用法

c++并行计算库TBB和PPL的基本用法 并行库充分利用多核的优势,通过并行运算提高程序效率,本文主要介绍c++中两个知名的并行库,一个是intel开发的TBB,一个是微软开发的PPL。本文只介绍其基本的常用用法:并行算法和任务。TBB(Intel® Threading Building Blocks)TBB是intel用标准c++写的一个开源的并行计算库。它的目的是提升数据并行计算的能力,可以在他的官网上下载最新的库和文档。TBB主要功能: 并行算法 任务调度 并行容器 同.

2020-09-01 16:44:14 1804

转载 『C++』基础知识点

目录一、基础知识 1、C++编译流程 2、#include 3、定义、声明、头文件 4、标准头文件结构 5、默认参数 6、调用函数过程 7、内联函数 8、const 9、字符串 10、引用 11、中间结果 二、class入门 1、变量 2、构造和析构 3、scope和存储空间 4、动态分配空间 5、访问控制 6、str...

2019-11-13 11:54:28 206

转载 我们必须承认:这个世界上有很多问题是无解的

我们就必须承认:这个世界上,有很多问题,就是无解的。数学尚且如此,生活更是如此。1.小的时候,有一类智力题特别流行,就是一笔画问题。现在我们都知道了,这本身就是欧拉图问题。但小时候哪有那么多理论知识,就是觉得笔不离纸,一笔能画出那么多图案,是件很酷的事情。我印象很清晰,有一次去科技馆,在一个角落,我遭遇了经典欧拉回路问题的起源 —— 柯尼斯堡七桥问题 (Seve...

2019-10-08 15:53:48 631

转载 Python-WXPY实现微信监控报警

概述:  本文主要分享一下博主在学习wxpy 的过程中开发的一个小程序。博主在最近有一个监控报警的需求需要完成,然后刚好在学习wxpy 这个东西,因此很巧妙的将工作和学习联系在一起。  博文中主要使用到的技术设计到Python,Redis,以及Java。涉及到的技术看似很多,但是主要的语言是基于Python进行开发的。  架构涉及主要采用了 生产者消费者的涉及模式,使用Redis作为消...

2019-05-15 15:15:16 457

转载 简单的python GUI

写一个简单的界面很容易,即使是什么都不了解的情况下,这个文本转载了最简单的界面编写,下个文本介绍了TK的简单但具体的应用在python中创建一个窗口,然后显示出来。[python]view plaincopyfromTkinterimport* root=Tk() root.mainloop()就3行就能够把主窗口显示出来了。root是一个变量名称...

2019-05-07 10:46:31 403

转载 windows程序员进阶系列:《软件调试》之Win32堆的调试支持

Win32堆的调试支持为了帮助程序员及时发现堆中的问题,堆管理器提供了以下功能来辅助调试。1:堆尾检查(Heap Tail Check) HTC,在堆尾添加额外的标记信息,用于检测堆块是否溢出。2:释放检查(Heap Free Check)在释放堆块时进行检查,防止释放同一个堆块。3:参数检查,对传递给堆的各种参数进行更多的检查。4:调用时验证(Heap Va...

2019-04-29 19:47:34 311

转载 python爬虫实例项目大全

WechatSogou[1]-微信公众号爬虫。基于搜狗微信搜索的微信公众号爬虫接口,可以扩展成基于搜狗搜索的爬虫,返回结果是列表,每一项均是公众号具体信息字典。DouBanSpider[2]- 豆瓣读书爬虫。可以爬下豆瓣读书标签下的所有图书,按评分排名依次存储,存储到Excel中,可方便大家筛选搜罗,比如筛选评价人数>1000的高分书籍;可依据不同的主题存储到Excel不同的She...

2019-04-01 13:25:05 1177 1

转载 Windebug专题

5 解决问题案例!cs、~~[TID](经典死锁)随手写的: #include <windows.h > CRITICAL_SECTION cs1; CRITICAL_SECTION cs2; DWORD __stdcall thread1(LPVOID lp) { En...

2019-03-28 15:36:28 1891

转载 Windebug专题

4WinDbg-常用命令(!locks )!locks不可用一个很有用的调试线程死锁的命令!locks在windbg 最新的版本6.11.1.40X(X为任意数字)不可用了,运行!locks会提示下面错误: 0:001> !locks NTSDEXTS: Unable to resolve ntdll!RTL_CRITICAL_SECTION_DEBUG type...

2019-03-28 15:35:38 2723

转载 Windebug 专题

windbg-易用cmdtree入门简介 cmdtree是windbg未文档化的一个UI窗口,用于简化我们使用windbg,结合windbgscript和DML存成一个文件夹,简单但非常实用效果图】整体格式整体格式如下:基本语法Magic term windbg ANSICommand Tre...

2019-03-28 15:32:05 899

转载 Windebug 专题

Windebug 专题查找资料的常用网站,分享给大家http://www.debuginfo.com/articles/easywindbg.htmlhttp://blogs.msdn.com/b/debuggingtoolbox/http://bbs.cracktool.com/thread-12631-1-2.htmlhttp://analyze-v.com/?p=716...

2019-03-28 15:31:14 832

原创 使用windbg检测内存泄漏[有源码和截图]

使用windbg检测内存泄漏[有源码和截图]一、基本步骤配置环境windbg:配置symbol文件路径:“SRV*d:\symbols*http://msdl.microsoft.com/download/symbols”.增加测试程序test.exe的pdb 文件到symbol文件路径采用Gflags.exe,增加userstack trace到测试程序leak.exe中。...

2019-03-28 11:39:10 1625

转载 windows下配置pytorch

我的电脑显卡image.png安装pytorch参考:https://blog.csdn.net/sunqiande88/article/details/80085569image.png 发现我的CUDA是9.2版本的,还要先把按错的卸载了,再装。气屎我了……pip3 uninstall http://download.pytorch.org/whl/cu9...

2019-02-22 17:31:02 334 1

转载 OpenCL:一种异构计算架构

OpenCL:一种异构计算架构目录1 摘要 2 为什么需要OpenCL? 3 OpenCL架构 3.1 介绍 3.2 平台模型 3.3 执行模型 3.3.1 内核 3.3.2 上下文 3.3.3 命令队列 3.4 内存模型 3.5 编程模型 4 基于OpenCL的编程示例 4.1 流程 4.2 图像旋转 4.2.1 图像旋转原理...

2019-02-21 17:51:21 339

转载 OpenCV安装配置篇 opencv2.49以及vs2010及其以上版本

2.4.9:https://sourceforge.net/projects/opencvlibrary/postdownload1.下载官方网站: http://sourceforge.net/projects/opencvlibrary/files/直接选红色框起来的部分就可以,最新版的windows平台下opencv ,其他平台可以选其他的。2 配置环境变量 path...

2019-01-29 10:33:08 767

转载 OpenCL双边滤波实现美颜功能

OpenCL是一个并行异构计算的框架,包括intel,AMD,英伟达等等许多厂家都有对它的支持,不过英伟达只到1.2版本,主要发展自己的CUDA去了。虽然没有用过CUDA,但个人感觉CUDA比OpenCL更好一点,但OpenCL支持面更管,CPU,GPU,DSP,FPGA等多种芯片都能支持OpenCL。OpenCL与D3D中的像素着色器非常相似。1.双边滤波原理    双边滤波器的原理参考...

2019-01-29 09:07:56 910

转载 OpenCL + OpenCV 图像旋转

使用 OpenCV 从文件读取彩色的 png 图像,旋转一定角度以后写回文件● 代码,核函数 1 // rotate.cl 2 //__constant sampler_t sampler = CLK_NORMALIZED_COORDS_FALSE | CLK_FILTER_NEAREST | CLK_ADDRE...

2019-01-28 18:49:37 956 1

转载 OpenCL:一种异构计算架构

OpenCL:一种异构计算架构目录1 摘要 2 为什么需要OpenCL? 3 OpenCL架构 3.1 介绍 3.2 平台模型 3.3 执行模型 3.3.1 内核 3.3.2 上下文 3.3.3 命令队列 3.4 内存模型 3.5 编程模型 4 基于OpenCL的编程示例 4.1 流程 4.2 图像旋转 4.2.1 图像旋转原理...

2019-01-28 18:40:51 337

转载 OpenCL多次循环执行内核的一个简单样例

由于对OpenCL初学者而言可能比较普遍,因此我这里给出一个清晰简单的demo来掩饰如何简单又高效地执行循环执行OpenCL内核。以下程序的大概意思与流程是:内核程序含有两个参数,第一个参数既是输入又是输出,第二个参数仅仅用于输入。不过第一个参数只对其初始化一次,而第二个参数在每次循环执行新一次的内核程序前会再传递一次数据。这么做有助于同学更好地去理解、把握存储器对象的基本使用方法。...

2019-01-22 17:47:49 1739 1

转载 代码覆盖率工具大全

BullseyeCoverageKind of ToolCode Coverage Analyzer for C++/COrganizationBullseye Testing Technologyhttp://www.bullseye.com/Software DescriptionBullseyeCoverage is a full-featured code co...

2019-01-21 17:10:08 4657

转载 C++开源代码覆盖率工具OpenCppCoverage介绍(Windows)

C++开源代码覆盖率工具OpenCppCoverage介绍(Windows)关于代码覆盖率统计工具,Linux平台下,gcc内置支持gcov,通过编译时加参数选项,进行代码插桩,从而实现代码覆盖率。在Windows平台下,早在几年前,我还没找到特别好用又开源的覆盖率工具,所以以前公司是自己实现了一套,使用起来也不是很方便。最近又遇到同样的问题,不过非常幸运的是,一款开源的Windows...

2019-01-21 16:47:49 2746

转载 【python游戏编程之旅】第九篇---嗷大喵快跑小游戏开发实例

本系列博客介绍以python+pygame库进行小游戏的开发。有写的不对之处还望各位海涵。 前几期博客我们一起学习了,pygame中的冲突检测技术以及一些常用的数据结构。这次我们来一起做一个简单的酷跑类游戏综合运用以前学到的知识。程序下载地址:http://yunpan.cn/cLIcJgTvq4tZS 访问密码 901f源代码网盘地址:http://yunpan.cn/cLI...

2019-01-18 17:15:59 1261

原创 一个简单python计算器

具体代码代码如下:# -*- coding: utf-8 -*-"""Created on Tue Jan 15 17:07:29 2019@author: UIH"""import tkinter #tkinter模块root  = tkinter.Tk()root.minsize(280,500)root.title('李蛟龙的计算器')#1.界面布局#显示面板re...

2019-01-15 17:25:29 465

转载 基于Python3 + OpenCV3.3.1的远程监控程序

一、环境配置OpenCV是一个基于(开源)发行的跨平台计算机视觉库,利用OpenCV能够实现视频图像的捕获。关于python3中OpenCV和Numpy的配置:1. 安装python后一般会自带有pip程序,利用pip install [库名称] 就能够进行下载,但是由于Numpy和OpenCV在pip库没有匹配的版本,所以使用pip直接安装会报错。2. 我们利用wheel进行下载...

2018-12-07 16:39:54 567

转载 EasyDarwin开源流媒体平台

EasyDarwin是由国内开源流媒体团队开发和维护的一款开源流媒体平台框架,从2012年12月创建并发展至今,从原有的单服务的流媒体服务器形式,扩展成现在的云平台架构的开源项目,更好地帮助广大流媒体开发者和创业型企业快速构建流媒体服务平台,更快、更简单地实现最新的移动互联网(安卓、IOS、微信)流媒体直播与点播的需求,尤其是安防行业与互联网行业的衔接;使用EasyDarwin收费吗?免费...

2018-11-30 16:15:33 1853

转载 TensorFlow API 简介

TensorFlow 相关函数理解任务时间:时间未知tf.nn.conv2dconv2d( input, filter, strides, padding, use_cudnn_on_gpu=True, data_format='NHWC', name=None)功能说明:卷积的原理可参考 A guide to c...

2018-11-29 17:34:21 1110

BoostAsio-TCPAndUDP.zip

简单的网络通信,使用boost实现,tcp和udp。简单场景可以直接使用。 速度可以达到网络最值的80%

2019-10-11

深度学习Pytorch含源码

包含了深度学习Pytorch的相关书籍和源码,供大家参考。 入门学习的可以看一下。

2019-03-05

vc(2015sdk)_redist.x64

解决用Anaconda 安装python和tensorflow 环境,安装完成后 测试import tensorflow as tf 报如下错误的问题。

2018-11-27

python3.6.7-and-win7kb

python安装失败0x80240017 安装KB2999226更新补丁后, 可以正常安装python3.5. 此更新包在vs2015的patch包里有. Microsoft下载中心也有,这里列出的适用于win7 x86: Windows 7 更新程序 (KB2999226) x64: 用于基于 x64 的系统的 Windows 7 更新程序 (KB2999226)

2018-11-26

获取内存使用量

windows下获取资源占用的类

2018-08-22

实现WDM驱动的自动安装的完整工程

C++实现WDM驱动的自动安装的完整工程 C++实现WDM驱动的自动安装的完整工程 C++实现WDM驱动的自动安装的完整工程

2017-05-27

c++性能与设计模式资料[含代码]

常见设计模式的解析和实现(全部c++实现) 常见设计模式的解析和实现(全部c++实现) 性能优化书籍 boost网络编程 合集,仅供参考

2017-02-14

开源的FreeNOS

这是一个用C++实现的微内核的操作系统,各种宏内核中的服务作为一个独立的services在微内核中,基于消息的通信方式,这点其实跟mac内核中的mach那部分机制相似。 除了是一个操作系统的实现,另外从中也能很好的学习到OOP的设计方式,整个代码风格特别好,完全基于面相对象,还有一些常见的设计模式,在接触了这个开源项目之后,才了解,代码风格,注释,doxygen,scons,设计模式。 对于直接入手就啃Linux kernel的同学,个人觉得会门槛有点高,身体还不是特别棒的时候,直接攀登珠穆拉玛还是有点吃力的,可以先找个黄山爬爬。

2016-12-09

Upx源码【win linux】

Upx源码,可以通过vs2010可编译,很方便! 还包含一个linux版本,3.91,可以自己研究。

2016-11-29

DICOM 学习入门资料

DICOM 学习入门资料,是一个非常好的学习DICOM协议的入门材料, 中英文的,大家自己慢慢看吧。 强烈推荐!

2016-10-25

IPMIView_2.9.25

IPMI View是Supermicro公司为其板载IPMI卡开发的管理工具。IPMI卡具有无需依赖于主板、CPU、内存等硬件而独立运行的优势,可以在操作系统运行之前对主机进行Console级别的访问和操作,而Supermicro得IPMI 工具更可以远程挂载ISO、img等多种格式的镜像文件,为主机虚拟访问UFD、Floppy Disk、CD/DVD ROM提供解决方案。

2016-08-19

windows多线程编程技术与实例

本书通过众多实例介绍了如何实现Windows下的多线程编程,既重点介绍了Win32API下的多线程编程和MFC下的多线程编程,又介绍了多线程机制在网络编程、图形编程和数据库中的应用。本书每一章都从简单的多线程实例出发逐渐深入,紧紧围绕应用程序实例,向读者展示了利用多线程技术来编写高效、友好的Windows应用程序的方法,并对常用的Win32线程函数进行了深入详细的说明。本书共分8章,第l章介绍了多线程编程的基础知识;第2~5章通过实例阐明Win32下多线程的几种不同实现形式及多进程的实现机制,这是本书介绍的重点内容,也是读者学习后面几章内容所必须掌握的基础知识;第6~8章介绍了多线程技术在网络、图形处理和数据库中的应用。

2016-07-18

网络调试助手CM精装版

网络调试助手CM精装版3.3

2016-07-05

PythonAndWindebug文档

PythonAndWindebug文档

2016-05-25

C++调用MATLAB[vs2010]

C++调用Matlab的VS2010 code。 提前书案MATLAB并进行了mbuild -setup的环境设置,在VS项目里设置自己的MATLAB的库目录和包含路径。 里面包含三个项目:二个基于C++调用MATLAB的DLL动态链接库,一个基于C++调用MATLAB的引擎。

2016-05-18

PVS-Studio 6.00官方版(注册版)

已经使用过:vs2010 PVS-Studio 6.官方版 附带注册码: Name:pvs SerialNumber:B1TG-6CYJ-0A07-YX01 LicenseType:Site License LicenseValidThru:2099/12/31

2016-05-05

Integrated Performance Primitives (intel IPP) - Intel Press

对于那些基于INTEL CPU的高性能运算来说,INTEL IPP库是一个不二的选择,IPP提供了图像、视频、音频、信号处理、矩阵运算等方面的API接口,这些接口对INTEL的CPU进行了深度优化,从而使运算性能大幅度的提高。 本书由微软出版社出版,对INTEL 高性能基元(IPP)开发背景,应用情景,函数接口等方面做了全面的分析和说明,是IPP开发者必读资料之一。

2016-04-25

openCL编程指导源代码

OpenCL领域公认的权威著作,由OpenCL核心设计人员亲自执笔,不仅全面而深刻地解读了OpenCL规范和编程模型,而且通过大量案例和代码演示了基于OpenCL编写并行程序和实现各种并行算法的原理、方法、流程和最佳实践,以及如何对OpenCL进行性能优化,如何对硬件进行探测和调整。

2016-04-18

学习 FPGA 书籍分享

废话不说了,下面进入正题,学习FPGA经历了这么几个阶段: ①、Verilog语言的学习,熟悉Verilog语言的各种语法。 ②、FPGA的学习,熟悉QuartusII软件的各种功能,各种逻辑算法设计,接口模块(RS232,LCD,VGA,SPI,I2c等)的设计,时序分析,硬件优化等,自己开始设计简单的FPGA板子。 ③、NiosII的学习,熟悉NiosII的开发流程,熟悉开发软件(SOPC,NiosII IDE),了解NiosII的基本结构,设计NiosII开发板,编写NiosII C语言程序,调试板子各模块功能。 先来说说第一个阶段,现在主要的硬件描述语言有VHDL,Verilog两种,在本科时老师一般教VHDL,不过现在 Verilog用的人越来越多,其更容易上手(与C语言语法比较类似),也更灵活,现在的IC设计基本都用Verilog。像 systemC,systemVerilog之类的应该还在萌芽阶段,以后可能会有较大发展。鉴于以上原因我选择了Verilog作为我学习的硬件描述语 言。 其实有C语言的基础,学起Verilog的语言很简单,关键要有并行的概念,所有的module,assign,always都是并行的,这一点与软件语言有明显不同。这里推荐几本评价比较好的学习Verilog的书籍: ①、《verilog 数字系统设计教程》,这本书对于入门是一本很好的书,通俗易懂,让人很快上手,它里面的例子也不错。但本书对于资源优化方面的编程没有多少涉及到。 ②、《设计与验证Verilog HDL》,这本书虽然比较薄,但是相当精辟,讲解的也很深入,很多概念看了这本书有种豁然开朗的感觉,呵呵。 学习Verilog其实不用看很多书,基本的语法部分大家都一样,关键是要自己会灵活应用,多做练习。 Verilog语言学了一段时间,感觉自己可以编点东西,希望自己编的程序在板子上运行看看结果,下面就介绍我学习的第二个阶段。 刚开始我拿了实验室一块CPLD的开发板做练习,熟悉QuartusII的各种功能,比如IP的调用,各种约束设置,时序分析,Logiclock设计方 法等,不过做到后面发现CPLD的资源不太够(没有内嵌的RAM、不能用SignalTapII,LE太少等),而实验室没有FPGA开发板,所以就萌生 了自己做FPGA开发板的意图,刚好Cadence我也学的差不多了,就花了几天时间主要研究了FPGA配置电路的设计,在板子上做了Jtag和AS下载 口,在做了几个用户按键和LED,其他的口全部引出作为IO口,电路比较简单,板子焊好后一调就通了(心里那个爽啊...)。我选的FPGA是 cycloneII系列的EP2C5,资源比以前的FPGA多了好几倍,还有PLL,内嵌的RAM,可以试试SignalTapII,用内嵌的逻辑分析仪 测试引脚波形,对于FPGA的调试,逻辑分析仪是至关重要的。利用这块板子我完成了项目中的几个主要功能:RS232通信,指令译码,配置DDS,AD数 据高速缓存,电子开关状态设置等,在实践中学习起来真的比平时快很多,用到什么学什么动力更大。这个时候我主要看的数据有这几本感觉比较好: ①、《Altera FPGA/CPLD 设计(基础篇)》:讲解一些基本的FPGA设计技术,以及QuartusII中各个工具的用法 (IP,RTL,SignalProbe,SignalTapII,Timing Closure Floorplan,chip Editor等),对于入门非常好。 ②、《Altera FPGA/CPLD 设计(高级篇)》:讲解了一些高级工具的应用,LogicLock,时序约束很分析,设计优化,也讲述了一些硬件编程的思想,作为提高用。 ③、《FPGA设计指南--器件,工具和流程》:这本书看了他的目录忍不住就买了,这本书讲述了FPGA设计的各个方面,虽然每个方面都是点到为止,但能让你有个整体的概念,了解FPGA的所有设计功能,了解FPGA开发的整个流程。

2016-04-12

Cocos2dx 源码与实例

Cocos2d-x是一个开源的移动2D游戏框架,MIT许可证下发布的。这是一个C++ Cocos2d-iPhone项目的版本。Cocos2d-X发展的重点是围绕Cocos2d跨平台,Cocos2d-x提供的框架。手机游戏,可以写在C++或者Lua中,使用API是Cocos2d-iPhone完全兼容。Cocos2d-x项目可以很容易地建立和运行在iOS,Android,黑莓Blackberry等操作系统中。Cocos2d-x还支持Windows、Mac和Linux等桌面操作系统,因此,开发者编写的源代码很容易在桌面操作系统中编辑和调试。

2016-03-17

zlib 封装简单接口使用

实际应用中有时候会遇到需要处理 ZIP 压缩解压的情况,这时候我们有大概三种选择: 调用 rar.exe, unzip.exe 等 使用某现成库 完全手写 第一种虽然能完成任务,但是没法知晓结果。曾经有人对说,可以抓命令行输出结果来判断……这种依靠界面文字来进行精确判断的行为个人认为相当不靠谱。第三种,既然我是个“造轮主义”者,当然说好,但是现在我不了解 ZIP 格式,也不了解 ZIP 算法,所以这个日后再说。今天我们就来切切实实地用一次轮子。 ZIP 相关的库中比较有名的可能就是 ZLib 和 InfoZip(unzip60)了。InfoZip 我了解的不多,其外层接口似乎也不大好,一堆回调——回调是个很烦人的东西,专门用来打乱代码结构。另外,这个库也已经有好多年没更新了吧,太久的东西给人的感觉总是不太舒服。ZLib 最新版本是 1.2.5,今年 4 月 19 日出的。确切的说,ZLib 可能并不是一个针对 ZIP 文件的库,它只是一个针对 gzip 以及 deflate 算法的库。它提供了一个叫做 minizip (contrib\minizip) 例子来给出操作 ZIP 文件的方法。下文将从 ZLib 出发,归结出两个傻瓜接口: BOOL ZipCompress(LPCTSTR lpszSourceFiles, LPCTSTR lpszDestFile); BOOL ZipExtract(LPCTSTR lpszSourceFile, LPCTSTR lpszDestFolder);

2015-12-23

CrashRpt 源码

CrashRpt 是一个开源的查找C++程序崩溃的程序,形如的时候,调用了CrashRpt的钩子函数就可以生成崩溃的dmp文件,然后在开发的机子上(有pdb文件的)运行,就可以定位代码崩溃到哪一行。

2015-10-12

大规模c++程序设计【完成版】

大规模c++程序设计【完成版】

2015-05-07

DOOM3 源码

DOOM3的游戏完整源代码,经过编译完全可以执行。 ID TECH 4引擎 卡马克所著

2015-05-05

获取调用栈和MemoryLeak

获取调用栈和MemoryLeak调查

2015-04-21

Modern+C+++Design

c++设计新思维,一本不错的书,建议大家看看。

2015-01-23

基于FFmpeg的AVFilter例子

FFMPEG工程浩大,可以参考的书籍又不是很多,因此很多刚学习FFMPEG的人常常感觉到无从下手。因此特地制作了一个基于FFmpeg中的AVFilter的简单的水印叠加器。 本程序使用FFmpeg的AVfilter实现了视频的水印叠加功能。 可以将一张PNG图片作为水印叠加到视频上。 是最简单的FFmpeg的AVFilter方面的教程。 适合FFmpeg的初学者。 工程基于VC2010。 使用了2014.5.6版本的FFmpeg类库。

2014-06-09

libevent源码和一个小例子

http://blog.csdn.net/flyingleo1981/article/details/28400859 这个是文章,可以参考,有图有真相

2014-06-04

graph-viz 源码

graph-viz 源码

2014-04-21

OpenCL CUDA 例子

有限差分方法求解Poisson方程代码。包括四个主要函数:5、测试平台下的所有OpenCL设备,现实主要性能和参数;2、测试CPU计算效率;3、测试OpenCL C 在GPU上的运行效率;4、测试OpenCL C++在GPU上的运行效率;5、测试CUDA在GPU上的运行效率。 代码相当简单,可以用来简单测试计算平台的性能,也可作为CUDA和OpenCL的入门参考程序。

2014-04-18

OGRE 3D 游戏开发框架指南

《OGRE 3D游戏开发框架指南》本书主要用来帮助读者从一个引擎研究者成为一个游戏开发人员,本书的最大特点是依靠代码来推进进度,以三周21天的时间顺序来编排主体内容,帮助读者熟悉OGRE 3D演示程序所使用的框架,并完成一款《打地鼠》游戏,采用Orz框架来代替OGRE 3D演示程序框架实现《太空侵略者》这款经典游戏,以及Orz框架的使用细节。

2014-03-28

OGRE教程 OGRE 入门教程

OGRE教程 OGRE 入门教程

2014-03-27

Google_Android开发入门与实践

基础知识: Android的系统架构和其操作系统一样,采用了分层的架构。从架构图看,android分为四个层,从上层到低层分别是应用程序层、应用程序框架层、Android运行时和库、linux内核……本文档带你很好的学习android基础知识,配合文档中给出的视频学习会有更好的效果! 开发入门实践书全文。

2014-02-26

深入浅出Google_Android

google android 开发 深入浅出Google_Android

2014-02-25

Android移动开发

完整版的android移动开发一本就够 完整版的android移动开发一本就够

2014-02-25

Android平台下通过JNI快速实现音视频通信

想要在Android平台下实现音视频通信,最快捷的方法是寻找开源项目或调用其他公司封装好的API

2014-02-25

cocos2d x 教程

手机2d游戏开发。入门资料。

2014-02-21

pstools远程控制工具

sexec是一个远程执行工具,你可以像使用telnet一样使用它。 它的使用格式为: psexec //远程机器ip [-u username [-p password]] [-c [-f]] [-i][-d] program [argum ents] 它的参数有: -c <[路径]文件名>:拷贝文件到远程机器并运行(注意:运行结束后文件会自动删除) -d 不等待程序执行完就返回,(比如要让远程机器运行tftp服务端的时候使用,不然psexec 命令会一直等待tftp程序结束才会返回) -i 在远程机器上运行一个名为psexesvc进程,(到底什么用弄不明白) 假设我在远程机器ip有一个账号,账号名是:abc 密码是:123 比如想要用telnet一样在远程系统上执行命令可以打: psexec //远程机器ip -u abc -p 123 cmd 如果想要远程机器执行本地c:/srm.exe文件可以打: psexec //远程机器ip -u abc -p 123 -c c:/srm.exe 如果想要让远程机器执行本地上tftp服务端,(假设tftp服务端在本地c:/tftp32.exe),可以 打: psexec //远程机器ip -u abc -p 123 -c c:/tftp32.exe -d

2014-01-24

windows远程控制基本命令

windows远程控制基本命令。远程控制必须熟练的常用命令。

2014-01-21

depends 64位

depends 64位

2014-01-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除