自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(64)
  • 资源 (1)
  • 收藏
  • 关注

原创 [QT] #3 Qt 错误记录

这里记录的是,在 Qt 的使用过程中,笔者遇见过的报错报警及其解决方法Warning: the code model could not parse an included file...解决办法: Help - C++- ClangCodeModel 去掉勾选The .pro file could not be parsed参考:https://blog.csdn.net/li...

2019-10-24 16:20:03 413

转载 [Xilinx FPGA] #12 Vivado 中的五中仿真模式

Vivado 中有各种仿真模式,分别为:1. run behavioral simulation-----行为级仿真,行为级别的仿真通常也说功能仿真。2. post-synthesis function simulation-----综合后的功能仿真。3. post-synthesis timing simulation-----综合后带时序信息的仿真,综合后带时序信息的仿真比较接近于真实的...

2019-10-09 15:31:43 546

原创 [QT] #1 Qt 的基本介绍与 MFC

Qt 的基本介绍Qt 是C++ 界面编程的一个开发框架,Qt 是早由 Qt Company 开发的,在其发展历程中也是几经转手几经波折。Qt 与 MFC作为程序猿,大家最喜爱的话题大概就是各种编程语言和各种开发框架孰优孰劣的这种话题了。那对于每一个接触 Qt 的程序猿,也不例外,其对比的对象就是同样使用着C++ 的 MFC 了。1.Qt 使用的编译器是 MinGW,即GCC ...

2019-09-03 17:54:13 448

原创 [Xiliinx FPGA] #12 UCF 与 XDC 约束文件

UCF 为 ISE 里的约束文件,在 Vivado 中不再使用,改为 XDC 文件关于 XDC 文件:1,vivado约束文件参考 ug903-vivado-using-constraints,这里有详细关于约束规则,原理,语法的介绍;2,vivado约束采用xdc约束文件,这里的语法其实是和TCL语法一致,这个语法详见UG903手册;3,vivado约束和ISE不同,ISE主要是...

2019-08-29 09:34:09 2231

原创 [Xilinx FPGA] #11 Vivado 使用技巧日常总结 [持续记录中]

目录添加代码编辑器Force Up-to-Date压缩 BIT 文件Messages 窗口Vivado xx Tcl Shell 和 Vivado HLS xx Command Prompt添加代码编辑器在 Vivado 里,使用者可以添加自己熟悉的代码编辑器,这里简单介绍添加 Notepad++ 的方法和过程Tools - Setting - Text Edi...

2019-07-23 22:56:06 2334

原创 [Cloud] #1 IBM Cloud Lite [轻量版·免费]

良心的 IBM,Lite 账户免费还不需要加信用卡,Cloud Foundry 应用程序可以最高256 MB 的免费即时运行时内存。需要注意的是如果某实例超过 30 天没有开发活动,就会删除轻量套餐的这个服务实例一些网页记录:IBM CloudCloud Annotations online tool一些基于 IBM Cloud 的应用:https://github.com/cloud-...

2019-07-18 15:15:52 1656

原创 [Xilinx ZYNQ] #7 Vivado HLS 使用方法

HLS 工程New Vivado HLS Project - Add/Remove Files -- 添加顶层函数名 - Next - Next - Device Selection Dialog编写 C++/C 代码 - C synthesis -Export RTL创建的 HLS 工程如图所示Includes 目录包含有 HLS 开发中可用的库函数 Source 为源文件,打开...

2019-07-12 16:46:30 2411 1

原创 [Xilinx ZYNQ] #6 System Genterator 无法配置 MATLAB 的问题

之前安装 Vivado 时,一直就郁闷的一点是,有时System Generator 可以安装的上,有时却安装不了。一直也没细想,不知道是什么情况。今天翻看帖子,才知道原来是对 MATLAB 版本有要求,MATLAB 版本不能太老但也不能新于 Vivado 版本。想来是之前安装时,有的电脑安装的MATLAB 版本不支持吧2017.4 版Vivado System Generator fo...

2019-07-10 01:32:08 324

原创 [Xilinx ZYNQ] #5 常用电平接口

FPGA 和 ZYNQ 开发中会涉及各种电平接口,如下图注: 可参考 UG471 --Supported I/O Standards and Terminations 章节LVTTL、LVCMOS总所周知,TTL 和 CMOS是数字电路中两种常见的逻辑电平,LVTTL 和 LVCMOS 是两者低电平版本。TTL 是流控器件,输入电阻小,TTL 电平器件速度快,驱动能力大,但功耗大...

2019-07-07 15:19:08 2977

原创 DOS bat 批处理文件初接触

DOS 与CMDDOS 即磁盘操作系统 (Disk Operating System),是个人计算机上的一类操作系统。bat 是 DOS 命令,在任何 DOS 环境下都可以使用。bat 文件是 DOS 下的批处理文件,批处理文件是无格式的文本文件,它包含一条或多条命令,后缀为 .cmd 或 .bat,在Windows NT 系统中,两者没有任何区别。CMD 是 cmd.exe,是 Win...

2019-07-04 14:30:27 254

原创 [linux 日常小知识] #5 使用 vmware-vdiskmanager 清理虚拟机磁盘

有时在虚拟机安装完一个较大的软件后,会发现安装后,此虚拟机的 *vmdk 变得非常大。如果使用 df -h 命令,可以发现此虚拟机实际使用的空间并没有其 *vmdk 占用的空间大。这其实是因为 Ubuntu 系统占用了这些空间,并一直没有释放。如果电脑的磁盘本身就不够大,会严重影响正常使用可以使用 vmware-tools 中的vmware-vdiskmanager 工具来解决此问题注:...

2019-07-02 15:22:35 1020

原创 [Xilinx ZYNQ] #4 Petalinux 入门 [黑金资料 基础教程s1 整理]

Petalinux 相关操作 [黑金资料 基础教程s1]16 --Petalinux 工具安装1.注: petalinux 并不是一个特殊 Linux 内核,而是一套开发环境配置的工具,降低 uboot、内核、根文件系统的配置的工作量,可以从 Vivado 的导出硬件信息自动完成相关软件的配置2.安装必要的库alinx@ubuntu:~$ sudo apt-get install t...

2019-07-02 14:47:39 5041

原创 [Xilinx ZYNQ] #3 ZYNQ 工程开发流程 (Vivado)

ZYNQ 工程开发流程(1). 在 Vivado 上新建工程,增加一个嵌入式的源文件。(2). 在 Vivado 里添加和配置 PS 和 PL 部分基本的外设,或需要添加自定义的外设。(3). 在 Vivado 里生成顶层 HDL 文件,并添加约束文件。再编译生成比特流文件 system.bit。(4). 导出到 SDK 软件开发环境,在 SDK 环境里可以编写一些调试软件验证硬件和软件...

2019-07-01 15:32:41 1773

原创 [Xilinx ZYNQ] #2 Linux 系统重安环境重建记录

昨天由于自己的愚蠢,得重新安装 linux 系统[Ubuntu],这里记下重新安装的过程,方便下次犯蠢时用Linux 系统: 16.4.3Vivado 版本: 2017.4Petalinux 版本: v2017.4Linux-RT Processor-SDK 版本: V04.03.00.05安装系统与虚拟机工具开启 root 用户sudo passwd root软件列表更新...

2019-07-01 15:24:41 523

原创 [linux 日常小知识] #4 Vim 常用命令

按 ESC 键 跳到命令模式:w 保存文件但不退出vi:w file 将修改另外保存到file中,不退出vi:w! 强制保存,不退出vi:wq 保存文件并退出vi:wq! 强制保存文件,并退出viq: 不保存文件,退出vi:q! 不保存文件,强制退出vi:e! 放弃所有修改,从上次保存文件开始再编辑Vim 的安装sudo apt-get install vimsudo ...

2019-06-22 12:50:36 154

原创 [Xilinx ZYNQ] #1 ZYNQ 初接触

前言最近买了一块 ZYNQ 板子板子型号是的 Xilinx ZYNQ-7000 XC7Z020 CLG400–2 [黑金ALINX]ZYNQ-7000ZYNQ-7000 全称为 ZYNQ-7000 SoC Artix Devices。众所周知,ZYNQ-7000 同为 7 系列一员,但与另外四位[Spartan-7、Artix7、Kintex-7、Virtex-7]有很大不同,原因...

2019-06-21 11:13:36 924

原创 [Xilinx FPGA] #10 ISE、Vivado、SDK、HLS 错误记录 [持续记录中]

这里记录的是,在 ISE、Vivado 与 SDK的日常使用中,笔者遇见过的报错及其解决方法ISE 14.7ISE WARNING:ProjectMgmt - File /*filePath*/ is missing.有可能原因,在建立工程后,修改了工程名或工程中某模块名称等信息,而 ISE 在重新综合编译时会读取上次综合编译的信息。只需将之前综合编译产生的各文件删除,再重新综合编译...

2019-06-20 21:37:05 1700

原创 [Xilinx FPGA] #9 Xilinx FPGA CLB 中的 SLICEM 和 SLICEL

众所周知在 FPGA 中,CLB 是其基本逻辑单元。而对于 XilinxFPGA,CLB 中又划分成 SLICE,而 SLICE 又有SLICEM 和 SLICEL 之分。这里的 M 是指 Memory,L是指 Logic其区别主要在于,SLICEM 中含有能够把 LUT 资源重新整合为 RAM 或ROM 的逻辑。这就是所谓的Distributed Ram。而 SLICEL 则不具备...

2019-06-19 11:25:35 2352

原创 [Xilinx FPGA] #8 Xilinx Power Estimator[XPE, 功耗估计器]的使用方法

对于 FPGA 设计来说,设计结果的功耗是较为重要的一个设计指标,有时在设计完成前对设计的功耗有一个大体的估计,Xilinx 专门为此设计了一个工具,以使设计者可以在设计完成前根据预设对功耗进行大致的预估可参考:Xilinx Power Estimator User Guide (UG440)Xilinx ISE Help-Analyzing Implementation Results...

2019-06-18 21:53:16 8683 3

原创 [Xilinx FPGA] #7 Xilinx XPower Analyzer[功耗分析器]的使用方法

Xilinx XPower Analyzer 是 ISE 里提供的一个专用来进行功耗分析的工具,这里对 XPower Analyzer 的使用进行简要的说明可参考:Power Methodology Guide (UG786)Xilinx ISE Help-Analyzing Implementation Results-Analyzing Power-XPower Analyzer ...

2019-06-18 21:52:11 4100 1

转载 [信号处理] #1 FFT结果的物理意义

转载自FFT结果的物理意义 -- forward[新浪博客]这是一篇非常有价值的博文,在读本科时,就是读了这篇博文帮我解决了很多疑难困惑。今天因为同样的问题而困惑时,再次翻出了这篇博文。特此转载FFT是离散傅立叶变换的快速算法,可以将一个信号变换到频域。有些信号在时域上是很难看出什么特征的,但是如果变换到频域之后,就很容易看出特征了。这就是很多信号分析采用FFT变换的原因。另外,FFT可...

2019-06-18 16:58:38 2922 1

原创 [读书笔记] 鸟哥的 Linux 私房菜 基础篇 第4版 -6.15.2019

鸟哥的Linux私房菜 基础学习篇 第四版 作者:鸟哥人民邮电出版社中文版 ISBN:9787115472588分类:计算机/操作系统配套网站:http://cn.linux.vbird.org/http://linux.vbird.org/linux_basic/

2019-06-15 16:27:18 392

转载 [linux 日常小知识] #3 挂摘SD卡与分区

将一个 SD 卡插入到电脑上后,将其挂载到 Ubuntu 上,如果是图形界面,可以看到相关图标也可以使用 fdisk 命令,查看sudo fdisk -lSD 卡分区命令 fdiskfdisk 是一个创建和维护分区表的程序,它兼容DOS类型的分区表、BSD或者SUN类型的磁盘列表。1.语法 fdisk [必要参数][选择参数]2.必要参数: -...

2019-06-15 16:21:09 170

原创 [linux 日常小知识] #2 用户权限与用户身份切换

sudo+命令,输入当前用户密码后以root权限执行命令,有时间限制且仅限当前命令。sudo-i,输入当前用户密码后以root权限登录shell,无时间限制。使用exit或logout退出。su -,输入root账户的密码后切换到root身份,无时间限制。su 用户名切换回其它用户。sudosu,效果同su,只是不需要root的密码,而需要当前用户的密码。(亲测有效)su...

2019-06-11 15:52:18 210

原创 [DSP 日常记录] #4 CCS 工程程序基本结构

接上篇这里有一个简单例程在这一例程里,分别有以下几种文件程序入口 (main.c等) 连接命令文件 (*.cmd) Target Configuration 文件 C运行文件库 (rtsXXX.lib) .asm 文件程序入口即CPU启动或复位后开始执行的程序地址。一般默认程序入口有三种:地址 0000、_c_int00 和 _main其中 _c_int00 定...

2019-05-28 21:16:18 1864

原创 [DSP 日常记录] #3 28335 和 C674x 开发板的日常记录

本博客内容为去年(2018-03)初次接触DSP 一两个月内的一些记录,现转到这里开发板:①TMS320F28335, ②C674x OMAP-L137...

2019-05-28 21:14:35 357

原创 [AM5728 调试过程] #4 Processor SDK RTOS Examples [Windows 平台]

参考:Processor SDK RTOS Examples注:有时可能需要科学上网ARM Cortex-A15这里,使用SYS/BIOS 例程中的Hello Example 做演示,所用芯片是 AM5728,CCS 版本为 7.4先找到对应的例程:CCS-View-Resource Explorer Classic(CCS_v6里应是Resource Explorer E...

2019-05-23 19:57:25 828

原创 [读书笔记] C++ Primer -5Ed -5.22.2019

C++ Primer, 5th Edition[美] Stanley B. Lippman [美] Josée Lajoie[美] Barbara E. Moo[译]王刚 杨巨峰电子工业出版社中文版 ISBN:9787121155352分类:计算机/编程语言/C++配套网站:http://www.informit.com/store/c-plus-plus-primer-97...

2019-05-22 16:13:49 327

原创 [DSP 日常记录] #2 TI DSP 的简单介绍与 DSP 的一些名词解释

将原先自己别处写的两篇博文汇总在这里 [内容仅针对 TI 公司生产的 DSP]TI公司的主要处理器产品列表参考:TI.WIKI一、MCUs 微处理器16-bit 超低功耗处理器 -- MSP430系列 32-bit 实时MCU -- C2000系列二、基于ARM的处理器32-bit ARM MCU -- TMS570 Cortex R4、RM4 Cortex R4F、T...

2019-05-22 16:06:25 1853

转载 [DSP 日常记录] #1 冯诺依曼结构、哈佛结构与改进型哈佛结构

1、冯·诺依曼结构冯·诺依曼结构又被称作普林斯顿体系结构 (Princetionarchitecture)。1945年,冯·诺依曼首先提出了"存储程序"的概念和二进制原理,后来,人们把利用这种概念和原理设计的电子计算机系统统称为"冯·诺依曼型结构"计算机。冯·诺依曼结构下的处理器使用同一个存储器,经由同一个总线传输。冯·诺依曼结构处理器具有以下几个特点:必须有一个存储器;必须有一个控...

2019-05-21 20:34:36 2388

原创 [C++ 日常记录] #3 VS 中 MSVC 编译器选项中的 /sdl (Enable Additional Security Checks)

Visual Studio 中默认开启 SDL 检查,某些警告会成为错误,使得程序编译不通过,例如:error C4146: unary minus operator applied to unsigned type, result still unsigned具体地,SDL 检查设计的警告有:关闭 SDL 检查 的方法Project -- Property -- Config...

2019-05-03 21:01:29 786

原创 [Xilinx FPGA] #6 Xilinx FPGA 芯片选型

1.工艺节点首先不管选择什么厂家的产品,都建议在其主流产品中选择合适的芯片。以上是目前 Xilinx 主流的也是常用的几个 FPGA 产品系列,这里不谈传说中的后两个系列。Spartan-6 和 7-series 中的 Spartan-7 的定位为满足低成本应用,容量中等,性能仅为满足一般的逻辑设计要求。7-series 其他三个子系列定位也各不相同,Artix-7 和 Kintex-...

2019-04-27 18:29:30 4804

原创 [Xilinx FPGA] #5 Vivado 工程文件的结构

project_name.cache:Vivado 软件的运行缓存project_name.hw:所有波形文件project_name.ip_user_files:用户关于 IP 的文件project_name.runs:编译与综合结果,.\impl_1 文件夹中的.bin 和 .bit 即为编译生成的可执行文件project_name.sdk:SDK 环境代码,一般是 ZYNQ 设计中关...

2019-04-24 11:26:11 2613

原创 [linux 日常小知识] #1 文件与文件夹的创建与删除

显示当前目录[root@localhost ~]# pwd新建一个目录(文件夹)[root@localhost ~]# mkdir folder删除一个空目录(文件夹)[root@localhost ~]# > rmdir folder删除一个目录(文件夹)[root@localhost ~]# > rm -r folder[root@localhost ~]# ...

2019-04-23 16:10:34 158

原创 [Xilinx FPGA] #4 Xilinx FPGA 芯片命名规则与查询方法

Xilinx 公司的芯片有一整套命名规则,可以通过相关文档查阅Xilinx 提供了很多关于 Device 的用户手册,很多会在文档的开始部分对命名规则及其含义进行讲解,如UG-112:Device Package User GuideUG-116:Device Reliability Report另外,针对某指定芯片,可查找所属系列的 DataSheet例如,针对 Xilin...

2019-04-22 21:59:47 10497 1

原创 [AM5728 调试过程] #3 CCS 与 SDK/RTOS 的安装 [Windows 平台下]

要做 DSP 的开发,首先要做的就是开发工具和开发环境的安装,这里针对笔者个人需要,简要对 Windows 系统下 CCS 的安装和 SDK/RTOS 环境的安装进行讲解说明首先 CCS 和 SDK/RTOS 都是 TI 公司开发的配套软件,可以前往TI 公司的网站上自行下载:CCS最新版本 CCS所有版本SDK/RTOS最新版本 SDK/RTOS旧版本(04_03_00_05)...

2019-04-22 14:37:57 2490 1

原创 [AM5728 调试过程] #2 SDK (RT-Linux) 的安装 [Linux 平台下]

SDK-Linux 安装过程与小插曲使用的是创龙提供的资料中\Tools\Linux-RT 的安装包 ti-processor-sdk-linux-rt-am57xx-evm-04.03.00.05-Linux-x86-Install.bin当然,也可以去 TI 官方网站自行下载:SDK-LINUX-RT最新版 SDK-Linux-RT旧版本(04_03_00_05) 相关...

2019-04-17 22:19:53 654

原创 [AM5728 调试过程] #1 TL5728F-EVM 开发板简单介绍

最近实验室新买了创龙的 TL5728F-EVM 开发板,真的是一款非常强大的板子名中的5728 所指代的就是 TI 公司Sitara™ Arm-based processors系列中的 AM5728而名中的 F 代表此开发板带有 FPGA,即为Xilinx Artix-7 系列中的 XC7A100T...

2019-04-17 14:36:37 620 3

原创 [读书笔记] 用 Verilog 设计 FPGA 样机实例解析 -4.8.2019

FPGA Prototyping by Verilog Examples[美]Ping D.Chu[译]李艳志 孟伟 刘军机械工业出版社中文版 ISBN:9787111536444分类:可编程逻辑器件-系统设计配套网站:https://academic.csuohio.edu/chu_p/rtl/fpga_vlog.html一、门级组合电路1.标识符,由字母、数字、下划...

2019-04-08 00:23:10 2146

原创 [FPGA 日常记录] #5 常见 FPGA 论坛排名 / 按活跃度

笔者接触 FPGA 较晚。很多人说近些年各 FPGA 论坛都在不断降温,发帖人数和活跃人数不断减少,远没有几年前的鼎盛形势,这在某种程度上反映出FPGA 在国内的热度有衰退迹象。但个人仍然看好 FPGA,并收集了一些 FPGA 论坛,并按照近期(仅几年)的活跃度对其进行了简单的排名第一名 电子发烧友FPGA论坛http://bbs.elecfans.com/zhuti_fpga_1.ht...

2019-04-02 15:41:24 1582 1

XPE[功率损耗器].7z

Xilinx Power Estimator[XPE, 功耗估计器],相关描述见我很久以前写的一篇博文: https://blog.csdn.net/Zenor_one/article/details/92799737 另外,Xilinx官方下载地址为: https://www.xilinx.com/products/technology/power/xpe.html

2020-03-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除