喝水的面包

转不出的圈圈圈圈圈

  • 博客(206)
  • 资源 (14)
  • 收藏
  • 关注

原创 ubuntu升级后无法双屏

ubuntu 升级后无法双屏显示,只有一个屏能够显示,display 也只能看到一个屏。原因是升级后没有安装启动lib。

2024-04-13 23:11:40 66

原创 解决 svn: Can‘t read stdin:End of file found

jenkins 使用svn 的hook 去触发自动构建的过程中发现报错。报错是通过svn checkout 工程过程中带账号密码的行为出现的。发现是cache 问题,修改命令解决。

2024-01-02 23:28:34 344

原创 modelsim安装使用

ModelSim 是三大仿真器公司之一mentor的产品,他可以模拟行为、RTL 和门级代码 - 通过独立于平台的编译提高设计质量和调试效率。单内核模拟器技术可在一种设计中透明地混合 VHDL 和 Verilog,常用在fpga 的仿真中。##下载软件网上找到的资源一般是包含两个文件:一个安装文件,一个注册机点击exe 进行安装,一路都是点击同意,最后有可能重启,是因为没有license。然后进行注册:拷贝四个文件到安装目录中的win64中。

2024-01-01 22:05:02 434

原创 gitlab 通过svn hook 触发

jenkins 起一个item配置:我选的自由风格的源码管理配置先选subversion 就是svn类型url 设置project 的路径, 注意是工程,不是svn 顶层添加一个账户来进行pull 等操作选择添加的账号构建触发器:,重要的是要自己随便设置一个身份验证的令牌,这个令牌是给svn 触发jenkins 来构建的令牌,svn 发过来请求如果令牌错了也不会有构建操作的svn 的配置:svn 支持的钩子:拷贝生成一个commit 之后触发的钩子:手动执行命令:jenkins 端就

2023-12-17 22:46:16 522

原创 svn 安装

1、先创建svn根目录文件夹。修改svnd.sh文件的权限。2、创建项目的目录文件夹。通过命令查看是否启动成功。修改passwd 文件。设置开机自启动SVN。

2023-12-17 22:20:42 264

原创 gitlab 安装

重启gitlab 服务,加入开机启动项。修改成自己的ip 地址和端口号。要选ubuntu focal。默认账号名是root。

2023-12-17 22:06:43 519

原创 jenkins安装

【代码】jenkins安装。

2023-12-17 21:48:12 90

原创 rtl仿真器-vcs安装和测试(一)

准备## 下载文件解压sysynopsys installer:synopsys软件安装工具,通过该软件安装VCS和Verdivcs_mx_vO-2018.09-SP2:VCS Verilog+VHDL 混合仿真软件vcs_vO-2018.09-SP2:VCS Verilog仿真软件verdi-2018.9:Verdi软件。

2023-05-30 22:14:28 238

原创 rtl仿真器-incisive安装和测试

incisive : http://pan.baidu.com/s/1dFC9KZn 提取码 k3cbpath:license:IScape: 安装的图形界面IScape下载链接: https://pan.baidu.com/s/1FvpOto5fAIRjQARcbMbjZQ密码: k1cb。

2023-05-21 23:22:40 756

原创 rtl仿真器-epicsim安装和测试

epicsim 是芯华章的仿真器,基于iverilog 据说速度快两倍。

2023-05-17 22:56:22 511

原创 rtl仿真器-ghdl安装和测试

【代码】rtl仿真器-ghdl安装和测试。

2023-05-15 22:32:59 741

原创 rtl仿真器-iverilog icarus安装和测试

Icarus Verilog是一个轻量、免费、开源的Verilog编译器,基于C++实现,开发者是 Stephen Williams ,遵循 GNU GPL license 许可证,安装文件中已经包含 GTKWave支持Verilog/VHDL文件的编译和仿真,命令行操作方式,类似gcc编译器,通过testbench文件可以生成对应的仿真波形数据文件,通过自带的GTKWave可以查看仿真波形图,支持将Verilog转换为VHDL文件。

2023-05-15 22:00:51 707

原创 rtl仿真器-verilator安装和测试

Build Verilator itself (if error, try just ‘make’,或者手动填cpu 核数-1)#include “verilated_vcd_c.h” //可选,如果要导出vcd则需要加上。#include “Vadder.h” //adder.v会被编译成Vadder.h。1.ubuntu 源已经加好了,直接用命令安装。安装GTKWave 看波形。

2023-05-08 23:37:18 653

原创 uvm-最小工程hello_world

uvm 最小工程

2022-10-05 10:11:55 306

原创 sv-最小工程hello_world

最简单的sv 工程

2022-10-05 09:29:47 402

原创 sv- typedef

sv typedef 用法

2022-09-17 14:57:45 1162

原创 sv-semaphore

作用信号是通过初始化一定数量的许可证,通过限制获得许可证的线程数量来阻塞未获取许可证达的线程,达到限制对特定资源访问的线程数量。实现原理所有多线程访问的控制方式归根结底都是对可共享地址进行管理,可以是简单的类似全局变量做的计数器判断,可以共享内存的数据结构的操作。接口函数new(): Creat a semaphore with a specified number kerys;get(): OBtian one or more keys from the busket;put(

2021-10-07 11:46:09 755

原创 c 产生随机数

c 库提供产生随机数的函数rand(),·

2021-05-02 17:10:19 399

原创 收益捐赠

做的专栏已经积累几百的收益了,去除掉csdn 的分成就剩下一点了。开始做博客只是为了记录,后面有目的的去分享,中间真的帮助了一些人,对于额外剩余的这部分收益准备做点公益了,国内的组织就算了,不太敢信。个人每年都会想联合国儿童基金会捐,感觉更加靠谱一些,并且也有中国的项目,钱也算用在了同胞手里。先提现100 捐掉,后面不定时的继续将所有收益都捐到这个基金会。...

2021-04-27 08:46:36 405 1

原创 UMV config_db机制

简介UVM 提供了一套全局数据存取的机制,通过这种机制可以实现数据存取和交换。典型应用传递interface传递数据,类似全局变量传递object接口说明发送数据config_db#(int )::set(this,“env.drv”,“pre_num”,100)第一个参数:数据类型 ,可以是变量类型,也可以是接口类型也可以是对象类型。第二个参数:uvm_compnent 实例的指针第三个参数:相对第二个参数的路径第四个参数:传递的实例名第五个参数: 传递的实例的值接收数据

2021-01-23 16:18:14 660

原创 CSDN 博客主页加打赏功能

获取收款二维码微信和支付宝的支付功能的收款码,选择保存二维码 ,最好不要截图,不上上面一堆乱七八糟的信息都带出来了。上传二维码将二维码保存到服务器的方法还是通过创建一篇文章,将图片上传到文章中,图片同步就上传到了csdn的服务器了。创建栏目当前只能创建一个栏目,栏目中创建多个分块,有公众号的分块 有支付宝打赏分块 微信打赏分块,分块限制不清楚。<div id="custom_column_41051715" class="panel"><ul class="panel_

2020-12-08 08:49:38 513

原创 CSDN 添加微信二维码

添加微信二维码到任意一篇博客复制二维码链接地址替换到img src 段中<ul class="panel_head"><span>感悟</span></ul><ul class="panel_body">坚持就有赢的可能<img src="https://img-blog.csdnimg.cn/20201203084648159.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5

2020-12-03 09:08:41 532 2

原创 Python多模块写log文件

使用logging 构建log系统遇到一个问题,多个模块中使用同一个log文件,这样就涉及到多线程之间同步写log的问题了.其实多线程logging 已经帮助处理了,也有锁来控制了.文档中有说明:实际操作中就在住module 中构建一个logger 节点,创建console 和file的句柄,之后在每个子模块中加载logging ,然后使用logging 进行信息保存.log 的封装配置:test.pymain.py 调用log 方法生成logger 节点和console file 句柄,

2020-11-28 15:09:58 779

原创 Python logging 实现log 系统

引子最常见的debug 方法就是打印,无论是console 还是写文件都比较简便.Python 使用最多的也是打印,print() 方法.print("INFO: xxx")读写log 文件fp = fopen("./log.txt",'a+')fwrite()说明这样的log 总归是比较简陋的,很多东西都需要自己实现,比如打印等级 格式 颜色 字符编码等,更难的是多module 多线程的调试信息保存,这里Python自带了一个module logging进行了封装,可以解决大部分的通

2020-11-28 11:44:18 406

原创 python 查看module说明

这里写自定义目录标题欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入欢迎使用Markdown编辑器你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Mar

2020-11-28 10:06:58 755

原创 libpng 处理png图片

尝试最近遇到一个需求需要处理png 图片,由于处理过bmp 图片,所以想跟bmp 图片的处理过程一样直接去掉头信息,但是发现解开png之后发现头信息中数据长度和实际的的,data 数据量对不上,发现数据是压缩,跟bmp 的就不一样了。解决方案自己去解压数据肯定不现实,查到png 图片一般都是使用libpng 来处理,libpng 是一个开源库这个开源库有windows 和Linux 的版本,有编译库 有demo 在开源软件中算是一个比较完善的项目。编译现需要下载两个开源代码1.libpng

2020-11-26 08:40:22 742

原创 shell 和csh 下 产生随机数

shell 中使用随机数,简单思路是打开/dev/random ,读取随机数,但是这个样就太过繁琐,shell 中有内建函数RANDOM,这个RANDOM应该是封装了读写/dev/random 的操作。#!/bin/shrand=$RANDOMecho $rand实验证明csh 中是没有这内建函数的,需要在csh 中调用sh 脚本,然后读取返回值。ran.sh#!/bin/shecho $RANDOMrand.csh#!/bin/cshset rand = ./shecho "

2020-11-19 08:31:50 1009

原创 shell下使用vim 文件处理

shell 本身具有文件处理的功能,包含部分内建函数,但毕竟不是编辑器,实现部分查找、匹配、替换的功能太过繁琐,这中应用常见编辑器反而更有优势。vim 有带参数的模式, 平时少有人关注,例如vim -v Vi modevim -e Ex modevim -D Debugging mode...shell 下主要使用的是vim -c <commond> 的命令模式,commond 就是在vim 下命令模式输入的命令,效果和vim ide 打开后: cm...

2020-11-18 08:23:10 1007

原创 python跨文件使用全局变量

Python 定义了全局变量的特性,使用global 关键字修饰global key_word但是他的一大缺陷就是只能本module 中也就是本文件中使用,跳出这个module就不行。try 1:使用一个更宏观的思路,全局变量就用全局加载的模块解决,很遗憾也是不行,file_1:global aa = "test"file 2:import file_1print(a)报错a没有定义try 2:file_1:global aa = "test"file 2:impo

2020-11-11 08:41:50 3798 7

原创 vs studio main 函数参数初值

应用可是指定外部输入,包括 立即数 字符串和文件等,写法上有一个范式:void main(int arg,char *argv[]){}运行时赋值arg 是可变数组参数argv 的成员个数,argv 是输入的参数。可以,argv[]也可以不赋初值,遮掩就需要在运行是添加参数test.ext 1 2IDE 中赋值属性-设置调试-运行参数结果代码中赋值可以在代码中赋值,固定下来...

2020-11-06 08:40:05 306

原创 python 数组基本操作

Python 没有数组概念 ,使用列表(list)来实现的,罗列几个基本操作:声明一维demo = [] 动态大小数组,成员数可变demo =[3],静态大小数组,三个成员,标号从0开始demo = [“a”,“b”] 数组初值二维demo = [[]*3]demo = [[“3”] [“4”]]增加成员demo = [] 声明动态数组demo.append(“a”) 增加一个成员清空demo = [“a”,“b”]demo.clear()拷贝Python中的数组虽然是可变变

2020-11-05 08:32:28 716

原创 python 调用shell 发送命令

作为当前最火的跨平台脚本语言,Python的应用范围越来越广,已经开始取代批处理脚本。对于linux 下的替代shell 脚本,他需要提供一个调用shell 执行命令的接口传统的os库os.system()想用使用更多的:subprocess.call()...

2020-11-04 08:00:04 502

原创 ubuntu18.08 下安装百度网盘

网盘现在只剩百度还能用了,但是在Linux平台已经都没有方案,对于仅有一个Ubuntu系统的开发环境就很麻烦了,幸好最近百度终于开发了Linux下的环境,但是还有一些限制。现在仅支持Ubuntu 18 ,旧版本都不支持,先下载,Ubuntu的安装程序 baidu…deb终端执行:sudo dekg -i baidunetdisk.....debenjoy...

2020-10-31 17:29:35 324

原创 QT ubuntu安装和demo

下载在地址:http://download.qt.io/official_releases/qt/里面选一个版本就可以,这里选的/5.9/5.9.2/q下载完村房子啊Download 下#安装赋予执行权限chmod +x qt-opensource-linux-x64-5.9.2.run执行安装./qt-opensource-linux-x64-5.9.2.run弹出的对话框一路next,遇到申请装好就申请账号。安装完的样子demoNew Project-> Appl

2020-10-31 11:37:00 345

原创 svn提交指定文件

svn 相比 git 没有暂存区的概念,所以提交之前需要区别那些事需要提交哪些仅仅是临时改动!提交所有改动:查看所有改动的命令svn status这时可以看到改动的文件有一个modify 的简写 M如果所有改动文件都需要改写直接使用命令svn -m 'xxxxx'提交改动文件中一个或多个,需要做一个文件列表,将需要提交的文件和完整路径写进去echo "sss/cc.c" >> targets.txt然后使用命令提交svn ci commit -m 'xxxxx' -

2020-10-08 08:59:58 3808

原创 xcelium 编译过程

使用最新candece 仿真工具xcelium 进行编译仿真,需要了解具体的过程。第一步:compile:1.检查语法和语义错误2.建立设计数据的二进制数据结构(主要是verilog)3.创建sv 和vhdl 的二进制目标文件第二步:elabrate相当于软件的链接过程1.构建设计的结构和信号连接2.创建签名和verilog 的数据3.创建初始化仿真的快照第三步:simulate相当于执行编译仿真激励链接第二步生成的库...

2020-10-06 19:07:47 1748

原创 shell foreach

shell 中的循环最常用的是foraech声明一个数组set test ={"a" "b" "c"}foreach var (test);thenecho $varend显示的结果是a b c foreach 可以自动的计算出需要循环的数量,整体的结构就比较简单,这是最突出的优势...

2020-10-06 17:57:54 5595

原创 sv 中循环的实现

sv 中循环的实现sv 中循环和C C++ 中的类似,常用的for while…doC 中的forint i;for (i=0;i<10;i++){.....}可以使用continue 跳过本次循环中的剩余部分,直接进入下次循环。 int i; for (i=0;i<10;i++) { .... if(i==1) continue; ..... }可以使用break 跳出循环,放弃剩余的循环执行。 int i; for (i=0;i<10;i++)

2020-08-22 17:07:09 12220 2

原创 sv 静态变量

静态变量赋值函数中的变量按照作用域可以分为全局变量和局部变量,按照生命周期可以分为静态变量和动态变量。静态变量在函数编译过程即分配存储空间,链接在数据段,在整个工程运行期间一直存在。动态变量一般在函数被调用时分配空间,位置在栈空间,函数退出即销毁。 C 程序注意函数重入过程的数据保护一般就不会有大问题,sv和C++ 中要格外小心,虽然sv 中的数据类型与C++ 中的静态变量处理方式类似,但又有些许区别。C++ 中的方式#include <stdio.h>int add(int a,int

2020-08-22 15:09:39 2755

原创 生成显示二维码

最近同事提出一个需求:需要通过os 显示带有版本信息的二维码,手机扫描就知道版本。主要为了解决整机没有串口交互也没有调试口。直接在网上找到了开源QRcode ,这是一个应用比较广泛的二维码生成和解析程序,基本app 网站都是使用他的库,有jave c c++ 等各种版本,由于我是要在自家芯片的嵌入式linux 系统上使用,所以就找了单片机版本的的一套开源代码,中间仅使用C库函数,可以直接编译成linux 应用使用。添加一个main函数进行调用#include "QR_Encode.h"int ma

2020-08-19 09:01:38 303

z3 demo程序,可以phthon3.0 以上直接运行

z3 约束解析器的demo 程序

2023-06-29

g726官方文档

音频压缩标准g726的官方文档,可以和我上传的其他压缩标准对比着理解。

2016-02-26

g711官方文档

音频压缩标准g728的官方文档,可以和我上传的其他压缩标准对比着理解。

2016-02-26

音频压缩g728

音频压缩标准g728的官方文档,可以和其他的标准对比着理解。

2016-02-26

搜狗拼音ubuntu 安装包

搜狗拼音的ubuntu安装包 ,适用与最新的.

2015-12-10

power-mode-master插件

atom的一个很浮夸的插件,确实很牛的一个插件。

2015-12-10

cajviewer6.0

看中国知网的文件的工具 ,属于一个比较旧的版本.

2015-12-10

1s启动linux的ppt

号称可以1s启动linux的精简系统的ppt  可以看出一点端倪

2015-12-10

mini逻辑分析仪

迷你逻辑分析仪软件  里面有windows ubuntu mac系统

2015-12-10

jlink v8 ubuntu 驱动

jlink 在ubuntu下驱动程序  可以支持v8在ubuntu15.0

2015-11-25

usb测试工具

标准的usb测试软件,可以测试所有命令,非常管用。

2015-11-25

sd 卡 协议

sd卡协议标准 官方文档没有问题 适合开发时使用

2014-04-29

arm汇编指令集

arm汇编指令集 arm开发开始必看的基本教程

2014-03-07

UM08005_JLinkGDBServer官方文档.pdf

jlink gdb 的官方文档 是arm调试最经济的调试方式

2014-03-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除