自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(33)
  • 资源 (2)
  • 收藏
  • 关注

原创 Windows10如何重新安装onedrive

想删除onedrive中笔记本时,发现之前升级Windows10后,onedrive居然打不开了,一番搜索找到了重新安装的方法,如下打开文件夹C:\Windows\WinSxS\,在其下搜索onedrive就能找到安装文件, 点击安装即可...

2020-02-10 11:58:32 13806 4

转载 以太网MAC协议--CSMA/CD协议

以太网MAC协议–CSMA/CD协议@(计算机网络)为了通信的方便,以太网采用了两种重要的措施:CSMA/CD协议采用无连接的工作方式:传输数据之前不用建立连接对发送的帧不进行编号,也不要求接收方发回确认帧。这样做的理由:局域网的信道质量非常好,因为信道质量产生的错误的概率非常小。区别于数据链路层协议。所以,以太网提供的是无连接不可靠的服务。尽最大努力交付即可。关于CSMA/...

2019-11-27 11:38:55 3777

原创 浅谈PCIe体系结构

之前有段时间学习PCIe相关知识,在网上搜了挺多资料来看,觉得《浅谈PCIe体系结构》写的不错,作者在新浪微博有连载,存链接于此,以便查阅http://blog.sina.com.cn/s/articlelist_1685243084_3_1.html...

2019-11-25 14:24:11 481

转载 终于有人把SDH、MSTP、OTN和PTN的关系解释清楚了……

在开始之前,先要解释一下TDM的概念。TDM,就是时分复用,就是将一个标准时长(1秒)分成若干段小的时间段(8000),每一个小时间段(1/8000=125us)传输一路信号。SDH系统的电路调度均以TDM为基础,所以看到很多人说SDH业务就是TDM业务,就是传统的电路调度,是有理论依据的。但在SDH大红大紫的时候,另一场战争在以太网和ATM(不是取款机哟,是“异...

2019-11-25 11:45:38 1201

原创 Eclipse for C/C++ 版本怎么安装JDT(Java Development Tools)

因为一直使用的是C语言开发,所以安装的Eclipse软件是针对C/C++的版本,Eclipse IDE for C/C++ Developers。最近想要学Java,用Eclipse也比较顺手,就想直接用已安装的软件编码,没想到新建工程发现压根儿没有java project这个选项尴尬。。。有点想当然Eclipse IDE for Java Developers网上一搜,还是有不少人遇...

2019-10-29 18:01:15 899

原创 Python 16进制输出高位补0

今天在使用python将整数以16进制形式打印时,想要高位补0。如整数1,希望以"0x01"的形式输出,而不是"0x1"。使用如下例句,%02x表示将整数a以16进制格式打印,并补齐2位,不足2位部分以"0"补齐。a=1print("0x%02x" % a)...

2019-10-25 11:01:25 14108

转载 python中 r'', b'', u'', f'' 的含义

r/R:非转义的原始字符串与普通字符相比,其他相对特殊的字符,其中可能包含转义字符,即那些,反斜杠加上对应字母,表示对应的特殊含义的,比如最常见的”\n”表示换行,”\t”表示Tab等。而如果是以r开头,那么说明后面的字符,都是普通的字符了,即如果是“\n”那么表示一个反斜杠字符,一个字母n,而不是表示换行了。以r开头的字符,常用于正则表达式,对应着re模块。r'input\n' # 非转...

2019-08-30 18:14:17 29360

原创 结构体中进行宏定义的意图

在定义结构体时进行宏定义,其作用域从定义处开始直至文件结束与结构体外的同名宏定义会有redefine的warning,新的定义会覆盖之前的定义其作用是告知源代码阅读者,该宏定义是为了该结构体中变量而定定义,如下代码中,MAY和JUNE宏定义是用于变量month使用。#include<stdio.h>#define MAY 0typedef struct test{...

2019-02-11 09:55:01 1811

转载 Tcl与Design Compiler (六)——基本的时序路径约束

原文地址:http://www.cnblogs.com/IClearner/p/6624722.html作者:IC_learner时序约束可以很复杂,这里我们先介绍基本的时序路径约束,复杂的时序约束我们将在后面进行介绍。在本节的主要内容如下所示:    ·时序路径和关键路径的介绍    ·建立时间、保持时间简述    ·时钟的约束(寄存器-寄存器之间的路径约束)    

2018-01-09 22:02:34 1238

转载 Tcl与Design Compiler (十)——其他的时序约束选项(一)

原文地址:https://www.cnblogs.com/IClearner/p/6658822.html作者:IC_learner之前讲了基本的时序路径约束,现在我们来看一下其他的约束,然后通过实战来讲解一些其他的约束。实战中也没有前面的“理论”中的约束类型,但是可以通过实战来了解其他的约束。本文的具体内容是:    ·多时钟同步约束    ·门控时钟的约束    (实战:)

2018-01-09 17:10:48 1385

转载 解决Altium Design复制原理图到word中字符错位

解决Altium Design复制原理图到word中字符错位

2017-12-23 20:40:20 7354

转载 基于FPGA的LVDS模块在DAC系统中的应用

介绍了基于FPGA的LVDS模块的应用,实现了将数据通过FPGA(Ahera StratixII EP2S90)的LVDS发送模块的传输,以640 Mbit·s-1数据率送至DAC电路。

2017-12-07 10:03:37 3215

转载 音频硬件发展史,以及DSD是如何产生的 一起说一说音频

【原帖地址】音频硬件发展史,以及DSD是如何产生的 一起说一说音频说到音频设备的硬件,无一不提到的就是DAC对于硬件感兴趣的朋友们可以进来看看不感兴趣的可以点击右上角的红叉我还是想强调一下关于DSD以及模拟味这个东东尽量用比较直白的话把音频阐述清楚DAC 顾名思义,数模转换器数模转换器负责将101010101数字信号转换成耳朵可以听到的声波模拟信

2017-11-02 15:05:44 3753

转载 FPGA各个部分

原文地址:     http://bbs.elecfans.com/infocenter.php?mod=space&uid=940085&do=blog&id=258224    目前主流FPGA都采用了基于SRAM工艺的查找表结构,也有一些军品和宇航级FPGA采用Flash或者熔丝与反熔丝工艺的查找表结构。通过烧写文件改变查找表内容的方法来实现对FPGA的重复配置。根据

2017-09-17 11:14:43 1125

转载 芯片制造全工艺流程详情,请收藏!

芯片一般是指集成电路的载体,也是集成电路经过设计、制造、封装、测试后的结果,通常是一个可以立即使用的独立的整体。如果把中央处理器CPU比喻为整个电脑系统的心脏,那么主板上的芯片组就是整个身体的躯干。对于主板而言,芯片组几乎决定了这块主板的功能,进而影响到整个电脑系统性能的发挥,芯片组是主板的灵魂。那么要想造个芯片,首先,你得画出来一个长这样的玩意儿给Foundry (外包的晶圆制造公司...

2017-09-14 15:28:18 62120

转载 verilog中assign和always@(*)两者描述组合逻辑时的差别

verilog描述组合逻辑一般常用的有两种:assign赋值语句和always@(*)语句。两者之间的差别有:    1.被assign赋值的信号定义为wire型,被always@(*)结构块下的信号定义为reg型,值得注意的是,这里的reg并不是一个真正的触发器,只有敏感列表为上升沿触发的写法才会综合为触发器,在仿真时才具有触发器的特性。    2.另外一个区别则是更细微的差别:

2017-09-13 16:25:10 22250

转载 异或 ^ 的几个作用

原文地址:http://www.cnblogs.com/danh/archive/2010/12/24/1915810.html一、交换两个整数的值而不必用第三个参数a = 9;b = 11;a=a^b; 1001^1011=0010b=b^a; 1011^0010=1001a=a^b;  0010^1001=1011a = 11;b = 9;二、奇

2017-09-13 10:45:53 18502

转载 期刊卷号期号什么意思

转载自http://www.58qikan.com.cn/wenda/8719.html问:期刊卷号期号什么意思回答:  期刊为区分每一本,会给冠以卷号期号。期刊卷号期号怎么查?我们先要弄明白期刊卷号期号都是什么。不少期刊在第几期之上有第几卷标志。 期刊以时间分“卷”和“期”。卷是在期之上的一个时间分类。一般的期刊是具有卷号和期号的。但也有些期刊只有期号而没有卷号,是以其出版年作为

2017-08-23 16:46:07 49082

转载 眼图(Eye Diagram)与数字信号测试眼图

眼图(Eye Diagram)与数字信号测试问题:什么是眼图?它用在什么场合?反映了波形的什么信息?NI相应的解决方案是怎样的?解答:眼图(Eye Diagram)可以显示出数字信号的传输质量,经常用于需要对电子设备、芯片中串行数字信号或者高速数字信号进行测试及验证的场合,归根结底是对数字信号质量的一种

2017-08-08 19:23:53 13391

转载 网口扫盲三:以太网芯片MAC和PHY的关系

原文地址问:如何实现单片以太网微控制器?答:诀窍是将微控制器、以太网媒体接入控制器(MAC)和物理接口收发器(PHY)整合进同一芯片,这样能去掉许多外接元器件.这种方案可使MAC和PHY实现很好的匹配,同时还可减小引脚数、缩小芯片面积.单片以太网微控制器还降低了功耗,特别是在采用掉电模式的情况下.问:以太网MAC是什么?答:MAC即Media Access Control,即媒

2017-07-27 21:04:02 297

原创 Library auk_dspip_lib not found问题解决

问题: Library auk_dspip_lib not found当使用QuartusII的 FIR IP后,在利用Modelsim进行仿真时,出现以下错误:# ** Error: E:/Altera/Test_V80/IP/FIR/fir_ast.vhd(32): Library auk_dspip_lib not found.# ** Error: E:/Altera/

2017-06-06 17:23:12 2726

转载 E24系列电阻 阻值表

原文地址:http://blog.chinaunix.net/uid-20902140-id-1832019.html电阻阻值系列,最常见的是E24系列,基本数值是:根号24次方(10^n),n=1,2,3…24,就是1.1、1.2、1.3、1.5、1.6、1.8、2、2.2、2.4、2.7、3、3.3、3.6、3.9、4.3、4.7、5.1、5.6、6.2、6.8、7.5、8.2

2017-06-01 15:08:50 38372

转载 Quartus II中FPGA的管脚分配保存方法

原文地址:http://www.cnblogs.com/sunev/archive/2012/03/10/2388705.html一、摘要  将Quartus II中FPGA管脚的分配及保存方法做一个汇总。 二、管脚分配方法  FPGA 的管脚分配,除了在QII软件中,选择“Assignments ->Pin”标签(或者点击按钮) ,打开Pin Planner,分配管脚外,

2017-04-27 16:18:46 542

转载 MSP430F149嵌入式系统中FLASH K9F1G08U0M的应用

原文地址【http://www.elecfans.com/emb/xitong/20111005219775.html】        NAND Flash是采用NAND结构技术的非易失存储器,具有ROM存储器的特点。NAND FLASH存储器将数据线与地址线复用为8条线,另外还分别提供了命令控制信号线,因此,NAND FLASH 存储器不会因为存储容量的增加而增加引脚数目。从而极大方便了

2017-04-13 12:40:56 938

转载 DSP外扩SRAM的应用测试心得体会

原文地址:【http://www.51hei.com/bbs/dpj-32468-1.html】DSP的硬件测试说明:平台说明:图1-1  Code Composer Studio 6.0.0.00190 C/C++开发环境硬件平台基于TMS320F28335芯片,软件平台基于TI的Code Composer Studio 6.0.0.00190 C/C++开发环境,如图1

2017-04-13 12:34:17 9516

转载 DM9000CEP调试工作过程总结

原文链接:http://blog.sina.com.cn/s/blog_70ef2ee90100z7lr.html#cmt_3435851转自 Detective_ALong的博客一、 原理图设计阶段:这个阶段有三个主要的思路:1、收集通用的、正确的原理图,作为设计的可靠参考。我的主要参考为:《Davicom-DM9000A-Application-Notes》第1

2017-04-09 16:04:00 4063

转载 对LOAD_START,LOAD_END, RUN_START汇编伪指令的理解

转自:http://blog.sina.com.cn/s/blog_9e358f6d0102v5g8.html在TI给的28335例程Example_2833xCodeRunFromXintf中,这个例子的目的是将定时器0和定时器1的中断服务函数的代码从SARAM的L1区搬运到外扩SRAM(ZONE7)上运行,28335有3个定时器Timer0,Timer1,Timer2,其中只有

2017-02-10 21:45:53 3801

转载 用MATLAB生成*.mif(QUARTUS II)内存初始化文件

【转载地址】http://blog.sina.com.cn/s/blog_58942aff01009grm.html做FPGA设计时经常要调用芯片内存。特别对于ROM,内存的初始化就显得比较重要。当然你完全可以手工在QUARTUS II打开mif文件的表格里或是在EXCEL中逐个输入,几十项(字)或是近百项(字)你还可以接受,如果上千项或是更多呢?估计能累的人吐血!一般内存的初始化数据

2016-12-16 11:04:02 3175

转载 FFT的详细解释,相信你看了就明白了。。。

FFT的详细解释,相信你看了就明白了。。。http://www.ilovematlab.cn/thread-119939-1-1.html(出处: MATLAB中文论坛)看到的跟大家分享一下。。。。FFT是离散傅立叶变换的快速算法,可以将一个信号变换到频域。有些信号在时域上是很难看出什么特征的,但是如果变换到频域之后,就很容易看出特征了。这就是很多信号分析采用F

2016-10-31 23:09:15 4675

转载 MATLAB中fft的频率轴坐标设置

以下为百度知道看到的解答原址链接:https://zhidao.baidu.com/question/650208607724529445.html问题:MATLAB中fft的频率轴怎么计算?解答:这个问题问得很不清楚,按我的理解可能是这样的:H是一个向量(通常意义下应该是一串等时间采样的时间序列),设H的采样率为fs,即采样时间为dt = 1/fs,采样点为N

2016-10-30 15:40:47 7254

转载 如果看了此文你还不懂傅里叶变换,那就过来掐死我吧【完整版】

作者:Heinrich链接:https://zhuanlan.zhihu.com/p/19763358来源:知乎著作权归作者所有。商业转载请联系作者获得授权,非商业转载请注明出处。作 者:韩 昊知 乎:Heinrich微 博:@花生油工人 知乎专栏:与时间无关的故事谨以此文献给大连海事大学的吴楠老师,柳晓鸣老师,王新年老师以及张晶泊老师。转载的同学请保留上面

2016-10-24 14:56:51 292

转载 关于谱能量的解释

说明: 以下内容为ilovematlab的一个帖子中看到的,觉得总结的的不错,摘抄过来,供自己学习使用。原贴内容: http://www.ilovematlab.cn/thread-59497-1-1.html关于谱能量,有这样一种解释,你可以试着去算一算信号可以分成能量信号与功率信号,非周期能量信号具有能量谱密度,是傅立叶变换的平方,功率信号具有功率谱密度,其

2016-10-23 21:09:36 2943

原创 《C Traps and Pitfalls》读书笔记

读书摘要笔记,让记忆更深刻

2016-10-21 11:10:43 354

Wireshark_win32_2.0.2.0.1457418555

抓包工具

2017-04-10

Commview 6.5

Commview 6.5最新安装包,支持WIN10

2017-04-10

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除