自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

王纯配的博客

知识涉猎不一定要专,但一定要广!

  • 博客(115)
  • 资源 (39)
  • 问答 (13)
  • 收藏
  • 关注

原创 原子力显微镜AFM图像处理软件Gwyddion使用教程(图文)

Gwyddion使用教程Gwyddion简介Gwyddion user guide下载地址本次教程用的AFM扫描图像下载地址AFM/STM校准样品Gwyddion使用step1step2step3step4step5step6step7step8你没见过的CD磁道到底长啥样一张图总结Gwyddion简介       &nbs...

2020-03-28 15:33:37 38988 5

原创 ADC采集系统DC噪声测试及计算

在用ADC做一些信号采集的板子时尤其是微弱信号uV/mV级的信号采集时,做的第一件事就是测试整个ADC系统的DC噪声,我喜欢称作静态噪声。所有的ADC电路都会受到内部电阻、内部电容以及其他电路(比如ADC输入前端驱动电路)带来的固有带宽噪声的影响。这些累积的噪声(通常称为ADC的输入参考噪声input-referred noise)。测试的时候就把驱动放大器的输入短接,读取一些数...

2019-03-02 17:04:57 7227 1

原创 ADC参数ENOB、有效分辨率、无噪声分辨率的理解及计算

ENOB是有效位数,对应于AC输入,是一项有关转换器对于交流信号的非线性性能指标,表示一个ADC在特定输入频率和采样率下的动态性能; 有效分辨率与无噪声分辨率测量的是ADC在DC下的噪声性能; 不要将有效分辨率和ENOB混淆; 最好从直流特性入手评估ADC性能,因为ADC的交流参数测试存在多种非标准方法,基于直流特性比较容易对两个IC进行比较。直流特性通常比交流特性更能反应器件的问题;...

2018-12-25 17:42:49 35264 13

原创 DAC的采样率与setting time

在慢速DAC中,手册中一般不会直接标注出采样率,而是使用setting time来说明。 如果想用DAC输出1Msps的方波(应该说是类方波,实际输出的波形是不标注的,除非该DAC内部的放大器的SR能达到无穷大),那么要使用的DAC是setting time应该满足:setting time

2017-12-02 14:45:45 9078 1

转载 热噪声:nv/√Hz

将3.5 nV/√Hz乘以138√Hz得到482 nV.如果运算放大器的增益为25,噪声也要乘以25,因此得到12.1µV。当你看运算放大器的数据表时,你会注意到输入噪声电压和输入噪声电流的规格,其单位为nV/√Hz(每根赫兹的毫伏数)或pA/√Hz。首先,nV/√Hz规格指的是热噪声,或约翰逊噪声,由电阻器件中电子的温度效应引起。尽管影响放大器噪声性能的参数有很多,但最重要的两个参数是:电压噪声和电流噪声。·电压噪声密度单位为nV/√Hz,电流噪声密度通常表示为pA/√Hz。其实噪声是个能量概念。

2023-01-16 21:30:34 1559 1

原创 ADS127L11采集板系统噪声评估

Gain=51时的结果依然是和没有放大时的噪声性能对比,评估一下信号放大链路引入的噪声量。G=51,速率=100khz时的ENOB=20.1,和官方手册中无放大时的ENOB=21,仅仅

2022-07-29 17:28:01 1116

原创 分享高压超低噪声LDO测试结果(High Voltage Ultra-low Noise LDO)

空载时,输出150.42V,带负载500mA时输出150.15V,比空载时低了0.27V,占比0.18%,负载稳定度99.82%(1-0.18%),稳定度还算可以。输出阻抗0.27V/500mA=0.54Ω,比低压LDO的IC输出阻抗大,但作为分立器件搭建的高压LDO,这个输出阻抗我是可以接收的。在整个负载范围内(0-500mA)输出的噪声峰峰值都能控制在500uVrms以内,满足设计要求。测试带宽10Hz~1Mhz。在输出负载500mA时,功率管上将会有2W的热量,所以需要2W的散热片。.........

2022-07-15 12:36:02 1645

原创 分享一组惠斯通电桥温度补偿前后的图像

1、全桥是半导体应变片组成的惠斯通全桥2、数据采集用24bit ADC;图1是没有温度补偿的图,左侧是采集的全桥输出电压转换的ADC值,X轴是时间。温度变化1°C,ADC值波动了大概3900个bit。图1 没有温度补偿图2是全桥经过温度补偿后的曲线,同样温度变化1°C,ADC值波动量是100个bit左右。可以看出温度补偿后全桥变得更加稳定。图2 有温度补偿图3是没有做温度补偿时降温曲线,温差4°C,全桥的输出ADC变化量大概是17000个bit左右图3 没有温度补

2022-05-20 19:33:44 1311

原创 利用放大器搭建信号反转电路

一些应用想要实现这样的功能:输入是递增的,而输出是递减的,比如输入0-5V,输出5-0V,下面的参考设计就是实现这样的功能。实现的核心思路是将放大器的正向输入用两个相等电阻分压得到vcc/2。为什么是vcc/2呢?可以利用虚短虚断列出反向放大器的关系式,根据设定的结果反推正向输入端的电压。如果放大器不是轨到轨类型的,输出电压会不输入略低一些。用的仿真软件是TI公司的TINA电路仿真源文件https://download.csdn.net/download/aqwtyyh/67346608htt.

2021-12-20 10:37:39 880

原创 16bit并口DAC8820双极性输出参考电路设计

DAC8820是一款低噪声电流输出型的DAC,需要外接放大器才能转换成电压输出供下级使用。提供的参考设计是输出双极性电压输出,输出范围是±Ref。放大器要双电源供电,

2021-12-20 09:56:09 1572

原创 FPGA控制并行接口ADS8472—Verilog核心驱动代码

module adc( clk_50m, rst_n, busy, //adc的状态输出信号,转换过程中为高,采集过程中为低 adc_data, //adc输出的并行16位数据 rd_n, //adc并行输出同步脉冲,当cs、rd_n都为低时并行输出使能 adc_out ); input clk_50m; input rst_n; input busy; input [15:0] adc_data; output rd_n; outpu.

2021-12-20 09:42:52 638

原创 纳米级3D形貌扫描

2021-12-16 09:54:25 290

原创 亚纳米级3D形貌扫描

2021-12-16 09:52:51 301

转载 经典运放电路分析—虚短虚断

提起运放电路,很多人很头疼,但是基本运放电路又是模拟电路的学习重点。如果没有正确的角度切入,理解起来会有些吃力。一般的教材和课程先给电路来 个定性,比如这是一个同向放大器,然后去推导它的输出与输入的关系,然后得出Vo=(1+Rf)Vi,那是一个反向放大器,然后得出Vo=-Rf*Vi。诸如此类,虽记住了公式,但若将电路稍微改变,便理解困难。此文将从“虚短”“虚断”角度理解基本运算电路。 由于运放的电压放大倍数很大,一般通用型运算放大器的开环电压放大倍数都在80 dB以上。而运放...

2021-12-15 14:38:02 8164 2

原创 图文介绍STM32L4读写内部flash

型号:STM32L431KBU6;改型号内存 = 128KB,起始地址 = 0x0800_0000;只有63页;在L4的user manual手册的第三章详细介绍了FLASH的操作细节,我挑一些重要的信息;flash擦除方式有两种:1、Page erase—以页位单位擦除,1页=2K,每次最少擦除1页;2、Mass erase—擦除整个flash;比较重要的信息是flash的写操作只能是双字(double word,64bit),写完第一个字,再写第二个字。下面贴..

2021-12-10 20:53:11 5572 6

原创 FPGA驱动32bitADC-ADS1262Part2——Verilog驱动核心代码

1、我采用的驱动方式是:发送命令控制转换。2、先发送“RESET”命令,如果不写reset的话,下载程序后adc不能工作,必须将程序固化重上电才能adc才能正常工作。3、配置的寄存器有:POWER,INTERFACE,MODE0,MODE1,MODE2,INPMUX,REFMUX;4、重要的是:你必须懂得如何用Verilog实现spi的操作;5、该ADC配置寄存器的方式有两种:datasheet中有对读写指令RREG和WREG有详细解释;——a、利用“group方式”批量依次写入寄存器数据

2021-10-30 22:18:16 1447 8

原创 FPGA驱动32bitADC-ADS1262Part1——使用ADS1262的注意事项

可以使用外部晶振,从XTAL1/CLKIN接入,XTAL2悬空就可以了; 9.4.7.1节(P68页),讲到了“Read Data Direct”读取模式,“The data readback operation must be completed 16 fCLKcycles before the next DRDY, or the old data are overwritten with new data.”这句话的理解是:正常读取操作的话,留给读的时间是“1/ data rate”...

2021-10-30 21:28:38 2783

原创 共射级放大电路—实现最大输出振幅的方法竟如此简单

文章主要分享在固定电源电压下,共射级放大电路如何才能输出最大振幅。文章所要传达的最重要的一句话:所谓共射级放大电路,是以发射极交流电位作为参考基准而进行放大工作的电路。

2021-05-16 11:31:41 4764 3

原创 共射级放大电路—密勒电容影响下的压摆率

密勒效应影响下的压摆率知识背景等效模型共射级放大电路基本模型晶体管等效模型密勒效应影响下的压摆率会如何表现从手册里查找密勒电容不同密勒电容下测试的压摆率大小总结提高压摆率的建议原文档免费下载知识背景了解压摆率的概念和影响;关于放大器中的SR参数再谈运放SlewRate-SR了解共射级放大电路原理。压摆率SR(SlewBate)是放大器的核心参数之一,我想探究一下如何才能提高压摆率。等效模型共射级放大电路基本模型      &

2021-04-30 14:53:30 1441

原创 STM32驱动ADC124S051(HAL库)源码——升级版

MCU型号:stm32f103c8t6;ADC信号:ADC124S051,12bit;提供的示例代码是读取3个通道的数据;我使用这颗芯片时遇到的问题:只读取一个通道没问题。如果连续读取>=2个通道的话,通道数据会错开。比如代码里写的是读取A通道,但实际上是B通道数据。如果是4通道连续读取的话,好像是A读的是B,B读的C,C读的D,D读的是A,就类似这样错开。代码写完后我会实际读取数据调试一下,把错位的数据纠正过来。以下是源码:HAL库方式,SPI的“DATASIZE”配置成16bit,这.

2021-01-22 20:18:12 716 2

原创 DAC也可以输出正负电压——电平偏移设计思路和电路分析

DAC也可以输出正负电压——电平偏移设计思路和电路分析应用案列分析案例要求设计思路电平偏移电路平移电压与增益无关反向偏移同向偏移应用案列分析案例要求使用stm32自带的DAC,输出电压范围是[0,3.3V],而后级模块需要[-1V,1V],受限于pcb尺寸,不能另外寻找合适的DAC,那么只能用stm32本身自带的DAC,可是它只能输出+电压,怎么办?设计思路我的思考过程如下:需要将[0,3.3V]偏移到[-1.65V,1.65V]才能满足要求。还需要一个负电源;电平偏移就是电压互相加减

2020-12-31 16:31:35 9874 4

原创 纳米定位平台低振动运动

纳米定位平台在运动过程中会发生振动,大多数情况下是上下振动,振动的原因主要是压电陶瓷伸缩、机械材料本身、机械组装、运动速度等等;陶瓷驱动的特点就那样也不能改变他,材料和组装都可以改进到最优,只是速度这块比较难控制;运动平台的速度控制主要是匀速和加速度运动过程中的匀速基本已经实现;降低运动振动的有效方案就是加速度控制,尤其是在起步阶段的加速度控制尤为重要;虽然我已经实现了在起步阶段可以设定不同的加速度进行起步,但是这个加速度并不是真实的或者接近真实的加速度,只是能调加速度而已。纳米定位平台确实需.

2020-12-17 21:20:06 515 1

原创 光栅编码器闭环运动重复定位时偶尔出现20um误差原因分析

用的光栅尺栅距是20um,误差刚好是一个栅距,要么尺子有问题,要么计算错误,重复运动同一个位置,不是每次都出错,很显然是计算错误。当出现误差时读取此时用于计算的光栅信号sincos数据,用matlab plot一下,选取了三张比较常见的错误数据的图像。从图1-图3可以看出参与计算的sincos数据出现了坏点,看起来有数据丢了,才会出现红色框中那种不规则图形。那么造成这种丢数据的原因是什么?首先理清整个系统的框架,每个环节数据是怎么交换的。我的系统数据交换如下图。定位平台控制器有3路,XYZ.

2020-11-05 17:33:16 1316

转载 有效降低传导辐射干扰的小技巧

有效降低传导辐射干扰的小技巧

2020-10-26 10:07:14 538

原创 STM32的硬件CRC功能使用和软件实现CRC校验相关博客文章整理

汇总搜索的CRC校验相关的博客文章,从中收益,记录下来方便以后查阅。关于STM32F4xx的硬件CRC32校验STM32的硬件CRCstm32学习之CRC-32校验STM32F10x 学习笔记3(CRC计算单元)CRC8校验CRC8算法

2020-10-19 22:16:25 1591 1

原创 巧用STM32串口DMA的normal和circular模式,达到并行执行效果

芯片型号:stm32f103rct6;SPI接口ADC;代码功能描述:有xyz3路数据,每路1个双通道adc采集,从x路依次数据并用串口发送出去,14字节,2250000bps;方案1:按照顺序执行,依次采集各路数据,然后uart发送。这样也行,但是我不喜欢,这样会影响数据更新的速率,就拿x路来说,要等yz路,串口发送都完成了,x路才开始下一次数据采集。我的目的是尽量提高各路数据更新的速率。方案1不满足。方案2:考虑用DMA发送,释放CPU。DMA有normal和circular..

2020-10-16 16:36:30 15497 7

原创 引脚间距是0.4mmBGA(WLCSP)封装的芯片怎么打孔走线

我用的这款MCU芯片是WLCSP封装,49pin,锡球间距只有0.4mm,想要按常规画法在焊盘之间打通孔几乎不可能实现。打通孔基本上都是机械钻孔,按照厂家工艺,机械钻孔的极限大约是内径0.15mm,外径0.25mm-0.3mm,也就是内径6mil,外径12mil。这么大的孔在0.4mm的焊盘之间是放不下的。跟厂家沟通后,我选择使用盲孔,放在焊盘上,也就是盘中孔。板子是光栅读数头信号处理板,尺寸13mm*8mm,5颗芯片若干阻容,和一个mini版的FPC对外接口,超低功耗,70mW,所以走线间距,线孔.

2020-09-26 17:27:10 6206 3

原创 机械震动引起光栅编码器sincos信号发生震荡

下面两幅图分别是低速运动和高速运动时的sincos震荡曲线。震荡有一定几率导致细分结果出现偏差,比如少算或多算一个栅距。 低速运动,差不多300um/s的样子 速度稍微高点运动,差不多2mm/s的样子...

2020-08-11 09:39:57 836

原创 联想E480被锁频到0.89Ghz解决办法

在什么情况下E480被锁频?:笔记本只用电池工作,没插电源,电量提示耗尽并自动关机,接上电源重启电脑,发现此时电脑巨卡,打开个文件夹都磨磨唧唧的,打开任务管理器一看CPU始终在≤0.89Ghz,心情好了可能会给你0.92Ghz ;解决办法:接上电源,按住电源键强制关机,强调一下是强制关机,然后开机,再打开任务管理器发现CPU又可以跑到3Ghz以上了;E480我的是I7的高配,CPU主频上来的话用起来还是很流畅的,就是显卡不行,solidworks就别想了不流畅,做嵌入式开发还是合适的。电池是真的耐用,持

2020-07-27 09:53:54 7357 15

原创 STM32的UART使用DMA操作不要入的坑

UART发送:HAL_UART_Transmit_DMA(&huat1,tx_data, 6);在完成各种初始化之后(或者是进入while之前)调用一次这个UART dma发送函数就可以不用管了。变量“tx_data”是在while()里实时更新的,这个串口dma发送函数不管你"tx_data"有没有更新,只要上一帧数据发送完毕,就接着发一下。所以就有问题了,有时候tx_data更新了一半,就被DMA挪走发送了。就比如说上次计算的值是1,那么 int32_t tx_data=0x0001;这次.

2020-05-10 22:48:40 5918 5

原创 STM32F1 HAL库开发——关掉和开启SPI中断

从机要实现的功能是:SPI1接收到一帧数据(e.g 6bytes)进入回调函数中,关掉SPI1中断——>数据处理+uart发送——>开启SPI1中断,等待下一次数据接收。库函数方法:SPI_I2S_ITConfig(SPI1,SPI_I2S_IT_RXNE,DISABLE);…数据处理…SPI_I2S_ITConfig(SPI1,SPI_I2S_IT_RXNE,ENABLE);HAL库方法__HAL_SPI_DISABLE_IT(&hspi1, SPI_IT_RXNE);.

2020-05-10 22:33:15 3506 1

原创 利用放大器调整输出信号的共模电压——放大器电路设计思路(图文)

放大器调整输出信号共模电压——放大器电路设计思路放大器必须掌握的知识明确设计要求设计要求波形示意图设计一个符合要求的放大器电路应该怎么思考心路历程灵魂解法注意事项仿真方法1方法2方法3总结与展望放大器必须掌握的知识关于放大器中的SR参数.放大器,还是衰减器,或两者皆可?.再谈运放SlewRate-SR.CMRR在测量差分小信号时的重要性——输出误差分析.运算放大器为什么不能用作比较器...

2020-04-19 22:34:12 5893

原创 两片STM32之间在高倍波特率下进行uart数据通信

项目背景需要用到两片stm32f103rct6进行UART串口单工通信,只需要从A发到B,通信的波特率越快越好。stm32的uart最大通信波特率可以达到4.5MBit/s;通信帧格式帧头0xAA状态表示1Byte数据位12Byte共14个Byte,无帧尾;通信实验遇到的问题实验平台:cubeMX+visual GDB+USB虚拟串口;在CubeMX中配...

2020-04-05 22:27:25 2798 6

原创 干货—花钱都买不来的光栅编码器高倍细分算法—基础篇(图文)

光栅编码器高倍细分算法原理背景知识光栅编码器原理编码器种类光栅编码器输出信号类型开发平台介绍硬件电路设计细分算法原理(核心)辩向思想(重点及难点)细分步骤总结(详细)细分误差原因分析及解决方案光栅尺闭环运动PID控制总结与展望背景知识光栅编码器原理编码器种类光栅编码器输出信号类型开发平台介绍硬件电路设计细分算法原理(核心)辩向思想(重点及难点)细分步骤总结(详细)细分误差原因分...

2020-03-29 16:31:53 5930 15

原创 积分电路中并联RC的原因竟然是这个!

为了防止因Cf长时间充电导致集成运放饱和,常在Cf上并联电阻Rf 。在积分电路的并联电阻有3种作用:1、低频段时(f约等于0Hz),可看作一个增益为-Rf/R的放大器.2、中频段时(0Hz<f<Fh),可看作一个具有直流增益A为:-Rf/R,截止频率Fh=12*Π*Rf*Cf的积分电路;3、高频段时(f>Fh),大于截止频率Fh=12*Π*Rf*...

2020-03-05 22:55:16 5926

原创 Celera Optira系列光栅编码器产品评测

Celera Optira系列光栅编码器产品评测 应用案例:微纳米定位控制; 为什么选择Celera Optira系列:尺寸满足要求,厂家响应及时这点我很喜欢,可以作为光栅编码器备选之一; Celera 的Optira系列尺寸为11.4*13mm,读数头分辨率依次为5um、2.5um、1um、0.5u...

2019-12-29 17:10:42 995

原创 visualGDB开发stm32——16进制转10进制代码

uint32_t Convert_16hex_to_10dec(uint8_t d1, uint8_t d2, uint8_t d3){ uint32_t num; num = d1 * 65536 + d2 * 256 + d3 * 1; return num;}d1,d2,d3是3个字节,从高位到低位。e.g. 5_000_000 = 0x4C4B40; d1...

2019-11-30 16:23:33 2949

原创 ADC124S051驱动代码(HAL库)—4通道数据读取(有部分注释)

#include "ADC124S051.h"#include "stm32f1xx_hal.h"#include "define.h"#include "delay.h"uint32_t *ADC_read_multiple_channel(uint8_t chipselcet) //这个参数片选,我的板子上有多个ADC所以要有个片选 static uint32_t va...

2019-10-31 21:35:42 713

原创 ADC124S051驱动代码编写-HAL库-USB虚拟串口发送

1、HAL库方式写的代码;USB虚拟串口发送;2、USB虚拟串口发送方式在串口助手上没法显示十进制数据,我就专门写了一个显示十进制的助手,我自己用,不嫌弃的话就联系我要。我的还可以是实时显示数据波形哦。3、数据发送给串口部分的代码没贴,只贴了核心的单通道和4通道 数据读取代码;//定义通道选择#define CH1 0B00000000#define CH2 0B000010...

2019-09-01 12:10:00 1194

原创 Visual GDB不能在线调试,出现Received a SIGTRAP:Trace/breakpoint trap

用visual GDB在线调试stm32代码时,出现错误信息:Error: jtag status contains invalid mode value - communication failure;同时弹出一个对话框提示:Received a SIGTRAP:Trace/breakpoint trap; 原因是:stm32代码初始化时寄存器没有设置en...

2019-08-22 17:15:09 9847 3

ACTEL芯片最新选型指南

ACTEL芯片最新选型指南 ACTEL芯片最新选型指南 ACTEL芯片最新选型指南

2017-11-29

FPGA驱动OLED动态显示(Verilog代码),源工程

1、采用altera的芯片,使用7针4线SPI接口OLED模块,利用纯Verilog语言控制OLED实现实时动态数据显示。根据工程中的引脚约束正确连接好接线,可以直接使用工程。 2、开发平台是友晶的DEO-nano板,软件版本:quartusII 13.0 SPI Web Edition, FPGA芯片型号:EP4CE22F17C6N

2017-10-22

电流信号检测实现方案集合

LT公司总结的测量电流信号的各种方案,包括高共模电压下测量,交直流测量,介绍了测量原理并推荐适合的芯片

2017-09-15

LM3886的3D.step文件

LM3886的step文件,适用于Altium中3D原理图

2017-08-03

32位单精度浮点乘法器的FPGA实现

32位单精度浮点乘法器的FPGA实现

2016-12-11

CSL库55系列

CCS4及以上版本就不在集成CSL库了,需要自己手动安装相应的工具包,该上传的C55xxCSL.rar是针对 C5501, C5502, C5509, C5509A, and C5510器件

2016-11-14

例说FPGA:可直接用于工程项目的第一手经验(可用).part3

之前上传的压缩的3部分文档少上传了一部分,导致下载不能使用,现在重新上传,新上传的带有“可用”二字

2016-11-08

例说FPGA:可直接用于工程项目的第一手经验(可用).part2

之前上传的压缩的3部分文档少上传了一部分,导致下载不能使用,现在重新上传,新上传的带有“可用”二字

2016-11-08

例说FPGA:可直接用于工程项目的第一手经验(可用).part1

之前上传的例说FPGA总共有3部分,少长传了一部分,导致下载不能使用,现在重新上传3部分,文件名带有“可用”二字

2016-11-08

基于FPGA的WEB服务器的搭建

基于FPGA的WEB服务器的搭建简易版,有详细的软核配置

2016-10-27

例说FPGA:可直接用于工程项目的第一手经验part2

例说FPGA

2016-10-10

例说FPGA:可直接用于工程项目的第一手经验part1

例说FPGA

2016-10-10

CR95HF操作命令中文版

CR95HF操作命令介绍中文版介绍了大部分的操作指令,对CR95HF看开发有一定的帮助

2016-07-30

CR95HF操作命令介绍中文版

对CR95HF的大部分操作命令进行了中文介绍

2016-07-30

Altium元器件封装库

自己创建的Altium元器件封装库,做项目过程中整理出来的,包含0805、0603、0402各种DC/DC芯片封装,包括DSP5509ABGA封装等等

2016-07-02

德州仪器厂商芯片元器件库

TI公司芯片的元器件库,包括DSP5509A等常用芯片,官方下载可用

2016-06-18

Actel的Altium库文件

Actel的Altium库文件

2016-06-18

I2C,MCBSP,EMIF

压缩文档中包含c5509A的I2C,MCBSP,EMIF的使用datasheet

2015-08-27

TMS320C55XX系列的CSL库函数使用指南

TMS320C55x Chip Support Library API Reference Guide TMS320C55XX系列的CSL库函数使用指南 头文件中定义的各种函数在这个pdf文档里都可以找到,并且有 详细的参数及功能说明,还有使用例子

2015-08-27

电路仿真,功能是:输入0-5V,输出5-0V

电路仿真,功能是:输入0-5V,输出5-0V

2021-12-20

共射级放大电路—探索放大倍数的极限.pdf

文章主要分享在固定电源电压下,共射级放大电路如何才能输出最大振幅。文章所要传达的最重要的一句话:所谓共射级放大电路,是以发射极交流电位作为参考基准而进行放大工作的电路。

2021-05-16

共射级放大电路—密勒效应影响下的压摆率.pdf

分析了三极管等效模型,讲解了密勒效应原理,并实际测试了不同的密勒电容下的压摆率

2021-04-30

运算放大器电路固有噪声的分析与测量-TI合集pdf.pdf

运算放大器电路固有噪声的分析与测量,共9篇

2021-02-03

0.1Hz至10Hz噪声滤波器.pdf

来自TI官方的噪声滤波器设计。采用一个0.1H二阶的高通滤波器和一个10Hz四阶的低通滤波器实现这个功能。用于简化0.1Hz-10Hz噪声测量。

2020-12-31

ADC中的ABC_理解ADC误差对系统性能的影响.pdf

许多工程师会在设计中遇到一些很微妙的问题:ADC的规格常常低于系统要求的指标。本文介绍了如何根据系统需求合理选择ADC,列举了ADC测量中可能遇到的各种误差源。

2020-12-31

AFM扫描图像数据xyz格式.rar

压缩包里有三个xyz格式的afm扫描图像数据,是博客里的Gwyddion教程使用的demo图像数据,我还有很多xyz格式的afm图像数据,只挑了其中几个来做演示

2020-03-28

gwyddion-user-guide.pdf

Gwyddion是一款扫描探针显微镜图像处理软件,可对扫描探针显微镜(扫描探针显微镜)数据可视化和分析,可为分析领域所取得的高度扫描探针显微技术(原子力显微镜,磁力显微 镜,扫描隧道显微镜,扫描/ NSOM )

2020-03-28

使用stm32CubeMX生成USB虚拟串口配置.rar

使用stm32CubxMX生成的用于stm32f103c8t6芯片使用的USB虚拟串口配置工程,同时生成可以用于keil直接打开的工程。

2019-08-22

visual studio实现USB虚拟串口工程.rar

visual studio开发stm32f103c8t6实现USB虚拟串口通信,有编辑号的收发函数Vcp_read()和VCP_write()可以直接使用

2019-08-22

MATLAB中用李沙育图形分析光栅尺sincos信号正交性.pdf

在光栅编码器应用中,细分技术是核心。影响细分精度的其中一个重要因素就是模拟量sin/cos信号的正交情况。理想情况下sin/cos相位差=90°,实际输出的信号相位会有偏差,根据偏差的情况并结合应用需求判断,由该偏差引起的细分误差对应用有多大影响,若影响较大,就要考虑相位补偿措施。

2019-05-25

PCB电流浅谈.pptx

自己整理的PCB电流的相关知识,分为三个部分: 1、电流的性质; 2、基本电路中电流的流动; 3、电路板上的电流;

2019-03-13

差分输入ADC的前端抗混叠RC滤波器设计及作用

抗混叠滤波器是用来移除输入信号中的高频谐波部分,防止高频谐波超过采样频率的一半。文档我是想免费的奈何积分是自动计算的。想要免费的就私信我,或者在博客文档里评论留下邮箱

2019-03-02

ADC系统DC噪声测试及计算

主要介绍ADC信号采集系统的DC噪声测试以及需要计算哪些参数来反应ADC系统的性能,比如无噪声分辨率,有效分辨率的计算等等

2019-03-02

Cadence15.7磁力链接

Cadence15.7的磁力链接,迅雷下载;Cadence15.7的磁力链接,迅雷下载

2018-05-25

DC-DC Programmable Logic Selection Guide

actel fpga的电源设计芯片选择,DC-DC Programmable Logic Selection Guide

2018-01-27

隔离式直流交流电信电源模块

PMP8877 是基于 UCD3138 数字电源控制器的全功能 1/8 砖型隔离式直流/直流电信电源模块。参考设计采用次级侧控制和硬开关全桥电源拓扑,可在 36V-72V 输入源宽范围内运行时提供 12V/180W 输出功率。该参考设计的亮点包括:94% 峰值效率、对输入电压浪涌的快速输入电压前馈响应、恒定电流/恒定电源过载保护、预偏置启动、用于并联模块应用的电流共享控制,以及 PMBUS 支持与对等控制器进行通信。偏置电源块采用 UCC25230,而该设计中采用的闸极驱动器包括用于驱动 SR MOSFET 的 UCC27524 和用于驱动初级侧半桥 MOSFET 的 UCC27211。其他组件包括可穿过隔离层发送信号的 ISO7240。

2018-01-27

适用于PoE电源(PSE)的双通道全桥转换器(54V@30A)

PMP6712 是一种相移全桥转换器,能够提供 1600W 隔离型输出功率,实现超过 96% 的效率。该设计在双相主从配置中使用 UCC28950 控制器。LM5017 恒定导通时间同步降压稳压器为使用具有隔离型反激式绕组的耦合线圈的初级和二级侧电路提供偏置电源。隔离电压指定为 2250VDC,使该设计可以在以太网供电和其他电信应用中用作系统电源。

2018-01-27

同济大学近几年考研数据同济

统计大学产业经济学专业近几年的考研报考人数、录取人数、推免人数、复试分数线等数据统计及走势图

2018-01-08

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除