自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(11)
  • 资源 (3)
  • 收藏
  • 关注

原创 【一步一步设计Vivado IP】制作随机输出IP

本文设计一个IP,它具有以下功能:它有1~64bit位宽,可根据parameter设置;每次综合生成的值不一样,但可以追溯这个值;本次设计平台:Vivado2017.2 @ Windows7 x64;第一步打开Vivado,建立一个Dummy工程;第二步打开IP设计项,使用Package a specified directory方式设计IP, 目录选择一个空目录,在这个目录放一个任意的Verilog文件,例如下面这即可module top;endmodule第三步在之前那

2020-07-18 15:50:03 1042

原创 Vivado IP Integrator: Using TTCL

ttcl语法和tcl一致,类似于JSP与Java的关系,只是载体有html变成了Verilog;仅以Verilog内嵌入TCL语法做介绍:TTCL内核心的三个语法是<: [TCL Segment] :>和<=: [TCL Expression] :>、<#: [TTCL FILE] :>TCL Segment:执行语句操作,执行的结果不会填入Verilog代码里; TCL Expression:TCL的表达式,用于计算和字符串等操作,执行结果会原封不动填入v

2020-06-03 16:48:02 1965 5

原创 Vivado使用系列:使用自定义BD

在TCL终端下运行ipx::add_file_group -type elaborate_bd {} [ipx::current_core]出现的Elaborate BD这个Group下添加bd.xit:proc update_bd { } {#### Setting Current Instance#### 设置当前IP的句柄,以便获取当前IP的Parameterset greg_bd [::ipxit::current_inst]## 获取当前IP的REG_NUM这个P

2020-05-11 09:39:49 3847

原创 shell中文件检测的异常

help test:快速查看if测试的描述help echo:快速查看转义字符。在help test的输出中看到-a或-e都可以判断文件是否存在取反的操作-a会出错判断文件不存在应该使用[[ ! -e ${FILE} ]]if [[ ! -a "${FILE}" ]]; then echo "这样判断是错误的" return 1fiif [[ ! -e "${FILE}" ]]; then echo "这样判断才正确" return 1fi上述紫字摘抄于网上,实际测试...

2021-04-29 10:17:01 294

原创 Vivado IP学习遇到的Bug:AXI-DMA

测试平台:Vivado 2017.2测试发现:S2MM接口方向: 1.DMA传输必须要有tlast 2.DMA Len(Offset 0x58)寄存器设置必须大于DMA握手启动传输到tlast中有效字节数(按Byte,如DMA位宽为64,则周期需要乘8) 如果上述不满足,Offset 0x34读出0x5011; 若Stream未握手,Offset 0x30的RS字段设置1会等待至握手才自清;...

2020-07-16 11:09:34 1238 1

原创 Vivado使用系列:强制指定IP的顶层(指定Wrapper层)

在Advance下新建一个GROUP,名字无所谓,默认用Verilog Synthesis Wrapper;修改IP File Group Properties下的:Name为xilinx_verilogsynthesiswrapperEnv ids为verilogSource:vivado.xilinx.com:synthesis.wrapper或:vivado.xilinx.com:synthesis.wrapperType为verilog:synthesis_wrapper仿真时IP的顶层:

2020-06-01 08:07:19 2922 2

原创 VCS+Verdi编译Vivado仿真库

开发平台:VCS2017, Verdi2017,Vivado 2017.2Vivado_HOME为实际安装Vivado的根目录,这个目录下有settings64.sh文件传统上VCS编译Vivado编译仿真库后,只能VCS使用,在VCS2016版本及更高版本是无法利用kdb参数调用Verdi编译时使用仿真库的。Xilinx的编译库命令是compile_simlib,参数模板如下:compile_simlib [-directory <arg>] [-family <ar

2020-05-17 07:42:25 4986 1

原创 VCS使用问题记录

本文作为vcs学习记录,不定期更新;Q1:使用vlogan deepfifo_pkg.sv -ntb_opts uvmError-[SV-LCM-PND] Package not definedtest/deepfifo_pkg.sv, 2deepfifo_pkg, "uvm_pkg::" Package scope resolution failed. Token 'uvm_pkg' is not a package. Originating module 'deepfifo_...

2020-05-11 09:35:02 12042

原创 Vivado使用系列:IP Integrator HDL

测试平台Vivado 2017.2在Vivado的Block设计里,全IP化逐渐形成了一种新型的设计方案,受Vivado内的IP可配置的GUI界面影响,使用IP要比RTL代码更有良好的用户体验;然而,在Block设计里,并不是只有IP这一种可添加并可配置,RTL也可实现上述功能,只需要在Block内右键Add Module..,会弹出下面界面:选择我之前写的模块add,点击ok,...

2020-04-21 09:25:18 5474 1

原创 Viavdo使用系列:IP如何控制端口的可见与不可见

测试平台Vivado 2017.2一、建立一个简单的AXI IP最简单的封装IP莫过于使用Vivado的Tools菜单下(已有工程打开),Create And Package New IP子项建立: Vivado提供了4中GUI形式封装IP的方式,分别是打包当前工程、打包一个BD文件、打包特定目录和创造一个自定义的AXI4外设,这4种在后续的操作没有多大区别,此处仅以最后一种作...

2018-08-09 16:33:21 1632

转载 ADS2015安装

1.将license.lic里面的myserver替换 为你的计算机名,将112233445566 全部替换为你的hostid 就是ipconfig出现的第一个网卡的mac地址;2.将EEsof_License_Tools.rar 解压 ,复制替换你的 EEsof_License_Tools\bin下相应的文件;3.EEsof_License_Tools\bin 下面的aglmmgr.ex

2016-01-17 16:30:17 10997 2

Python3.2.3官方文档(中文版)

听名字就知道了

2014-08-15

算法的集合

各种算法的讲解

2014-08-15

Vsftp2.3.4 for linux

搭建ftp的首选工具

2014-08-13

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除