自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(38)
  • 收藏
  • 关注

转载 [转载]克服FPGA I/O引脚分配挑战--xilinx系列

转载走,放到自己的分类中好了原文地址:克服FPGAI/O引脚分配挑战--xilinx系列作者:方槍槍 http://www.eefocus.com/lubee/blog/2012-05/205849_34433.html对于需要在PCB板上使用大规模FPGA器件的设计人员来说,I/O引脚分配是必须面对的众多挑战之一。由于众多原因,许多设计人员发表为大型FPGA器件...

2016-03-10 15:13:00 589

转载 FPGA开发时钟资源评估需要考虑的问题

在第一个独立开发的FPGA项目中,使用了Altera平台的三速以太网IP,工作在100M模式下,外部输入的PHY时钟频率为25MHz。由于在前期没有注意这个外部输入的时钟,导致最后不得不在板子上飞线,完成以太网的调试。这篇文章主要讲讲在做FPGA开发的时候,如何考虑时钟资源的评估!?1 、使用的时钟频率和相位变化范围?是用DCM还是PLL?2、设计中使用到的IP核需要多...

2016-01-19 22:42:00 297

转载 Verilog中的Timescale作用

很多时候,我们拿到已有的东西理所当然的用了,其实,你真的对你所使用的东西了解吗?再次犯下这样的错误,是因为在把代码从Altera的CycloneV移植到Xilinx的Spartan6上,我遇到了非常奇怪的问题:在modelsim下,对Xilinx平台下的代码进行功能仿真,发现工程中Xilinx的IP核全部都有异常,乱七八糟的错。为此,还向FAE求助?但是最终的问题是出...

2016-01-17 11:27:00 1863

转载 [转载]一个支持Verilog的Vim插件——前言

原文地址:一个支持Verilog的Vim插件——前言作者:hover随着设计复杂度的增加,在书写代码中枯燥的重复性的劳动会越来越多。例如,例化若干个有上百个端口的子模块,这个工作没有任何创造性可言,而且几乎100%会出错误。在verilog中每用到一个新变量都要实现声明,而一个比较复杂的设计,有成百上千个变量都不稀奇。如果没有一个自动化的辅助书写工具,一个设计者花费在简单重复工...

2016-01-07 21:50:00 172

转载 [转载]一个支持Verilog的Vim插件——AutoDef

原文地址:一个支持Verilog的Vim插件——AutoDef作者:hover支持一下四种类型的变量声明:1)时序always块中的寄存器变量reg2)组合always块中的组合reg变量reg3)assign语句中的网表wire4)例化模块的输出端口wire用户在使用变量是需要在至少一个赋值语句的左边或者右边给出该变量的位宽,例如:A <= 2'b01;B[...

2016-01-07 21:50:00 306

转载 [转载]一个支持Verilog的Vim插件——自动插入always块

原文地址:一个支持Verilog的Vim插件——自动插入always块作者:hover插件支持always块的自动插入,如果用户要插入时序always块,需要在端口声明中标志时钟和异步复位信号(仅支持单时钟域)。例如:inputmea_clk; // clockinputmea_rst_n; // reset在末行命令模式下输入Alpp,则会在...

2016-01-07 21:50:00 395

转载 [转载](转)ISE中ROM初始化文件(.coe)的建立

原文地址:(转)ISE中ROM初始化文件(.coe)的建立作者:老徐UltraEdit对于ROM模块,主要是生成相应的.coe文件。1.在Matlab中生成正余弦波形的浮点值,并量化为16bit定点波形数值:% 生成 ROM 的 .coe文件clcclear allclose allx = linspace(0,pi/2,1024);% ...

2015-12-16 10:42:00 383

转载 [转载]MII/MDIO接口详解

原文地址:MII/MDIO接口详解作者:心田麦浪本文主要分析MII/RMII/SMII,以及GMII/RGMII/SGMII接口的信号定义,及相关知识,同时本文也对RJ-45接口进行了总结,分析了在10/100模式下和1000M模式下的设计方法。MII接口提供了MAC与PHY之间、PHY与STA(StationManagement)之间的互联技术,该接口支持10Mb/s与10...

2015-10-08 20:18:00 229

转载 [转载]Ethernet,Half-Duplex/Full-Duplex,CSMA

原文地址:Ethernet,Half-Duplex/Full-Duplex,CSMA/CD,Auto-Negotiation作者:心田麦浪CSMA/CD(Carrier Sense Multiple Access/Collision Detect)全双工以太网是在同一个时刻可以同时收发的以太网。全双工模式:传输数据是双向同步进行的,即同时接收和发送数据。半双工模式:同一时...

2015-10-08 20:18:00 778

转载 [转载]NOR和NAND 存储器的联系与区别

转载了,对于我理解两种Flash起到了帮助,希望博主继续再接再厉,更新博文原文地址:NOR和NAND存储器的联系与区别作者:暴走的工程师一、类型理解分为NOR(或非)NAND(与非)二、接口理解NOR(或非)----地址、数据总线分开;NAND(与非)----地址、数据总线共用。三、读写单位:NOR(或非)----字节;N...

2015-10-05 23:31:00 168

转载 基于FPGA的以太网开发

基于FPGA的以太网开发,在调试过的FPGA玩家开来,其实算不上很难的技术!但是如果只是菜鸟级别的选手,没有调试过的话,就有些头疼了!早在自己在实习的时候,就接触到XAUI(万兆以太网口)接口,但是由于某些原因没能参与调试,成为了自己的遗憾,这次在Altera平台下开发百兆以太网,想通过博文的方式记录自己的调试例程做网络开发,首先必须对以太网的层级结构有了解,否则,你...

2015-10-05 22:29:00 1241

转载 FPGA异步时钟系统中信号处理之单比特信号

有些东西当你习以为常而不去深思熟虑的时候,致命的错误就会因此埋下!FPGA开发中难免会遇到跨时钟域处理的问题,而对于单比特信号,我会不假思索的回答:打两拍不久解决了吗?但是事实时,这佯作的前提条件是:信号A从clk1进入到clk2的时候,clk1的是一个慢时钟,而clk2是一个快时钟。这样在快时钟域把信号A延迟两排,总能采样到稳定的数据。但是如果是一个...

2015-10-02 23:31:00 323

转载 [转载]Quartus ii 一些Warning/Eeror分析与解决

我会在此基础上继续添加原文地址:Quartusii一些Warning/Eeror分析与解决作者:yanppf注:http://www.eefocus.com/chudonganjin/blog/09-09/175772_9e67e.html#articletop在QuartusII下进行编译和仿真的时候,会出现一堆warning,有的可以忽略,有的却需要注意,虽然按F1...

2015-09-24 22:16:00 489

转载 [转载]MAC、IP头、TCP头、UDP头帧格式、详解

原文地址:MAC、IP头、TCP头、UDP头帧格式、详解作者:iTudu转自:http://zoufengfu168.blog.163.com/blog/static/5461055200991333616451/一、MAC帧头定义typedef struct _MAC_FRAME_HEADER{charm_cDstMacAddress[6];//目的ma...

2015-09-22 10:50:00 92

转载 [转载]关于晶振ppm

写得不错,小白的我学习了原文地址:关于晶振ppm作者:thomaswangbjXXppm就是说频率的误差=(xx/百万)*振荡器的标称频率eg1:120ppm,27M的晶振,频率的误差 =120/100万*27M=3240Hz晶振的精度决定时钟的计时准确度。eg2: 所配晶振频率:32772.2HZ,误差为+1.2ppm一年的误差计算过程为:Δf=...

2015-09-21 16:40:00 436

转载 20140919-FPGA-有效观察设计中的差分信号

今天回来坐在电脑前,打开Xilinx的Documentation Navigator寻找NCO相关的UserGuide,但是在不经意中发现了一个这样的IP,我感觉对于观察设计中的查分信号十分有用。之前在和Xilinx的FAE交流时,他说他们的设计中都会把差分信号变为单端信号,便于自己观察。 差分信号多用于高速的数据总线或者时钟信号,如果要观察数据总线的...

2014-09-19 23:35:00 136

转载 [转载]转一篇Systemverilog的一个牛人总结

原文地址:转一篇Systemverilog的一个牛人总结作者:dreamylifeSystemverilog数据类型l合并数组和非合并数组1)合并数组:存储方式是连续的,中间没有闲置空间。例如,32bit的寄存器,可以看成是4个8bit的数据,或者也可以看成是1个32bit的数据。表示方法:数组大小和位,必须在变量名前指定,数组大小...

2014-05-11 12:58:00 154

转载 [转载]ISE中COE与MIF文件的联系与区别

原文地址:ISE中COE与MIF文件的联系与区别作者:铁掌北京漂在ISE中,当用Blcok Memory Generator 生成某个ROM模块时,经常要对ROM中的内容作初始化。这时,就需要我们另外去制作初始化文件了。COE文件与MIF文件是经常被提及的两个概念,那么,这两者究竟有什么联系与区别?我们首先来看看一个ROM的生成过程。当我们生成一个ROM模块时,在Memo...

2013-06-27 14:13:00 284

转载 [转载][转帖]谈谈我对攻读计算机研究生的看法。。。大牛的文章,见解精深独到...

原文地址:[转帖]谈谈我对攻读计算机研究生的看法。。。大牛的文章,见解精深独到作者:新一谈谈我对攻读计算机研究生的看法。。。大牛的文章,见解精深独到《转帖》就我自己的理解,谈谈我对读研和软件学院的看法,不妥之处一笑了之即可。" C, [-{G, A- R  如果你有实际开发工作经验,感觉自己的水平和实力进入了一个高原期,迫切需要从理论上提高,...

2012-12-13 23:35:00 133

转载 [转载]Shell十三问(入门与提高)

原文地址:Shell十三问(入门与提高)作者:snowdropShell十三问——ChinaUnix论坛精华整理(本文来自Lkydeer对ChinaUnix论坛精华的整理)原创ChinaUnix 网中人整理Lkydeer整理时间2007-7-19联系方式[email protected]目 录1 简介 32 为何叫做 shell ? 33 she...

2012-10-02 00:57:00 145

转载 [转载]CRC校验原理

原文地址:CRC校验原理作者:神人转载:CRC校验原理1、循环校验码(CRC码):是数据通信领域中最常用的一种差错校验码,其特征是信息字段和校验字段的长度可以任意选定。2、生成CRC码的基本原理:任意一个由二进制位串组成的代码都可以和一个系数仅为‘0’和‘1’取值的多项式一一对应。例如:代码1010111对应的多项式为x6+x4+x2+x+1,而多项式为x5+x3+x2+x...

2012-08-13 00:22:00 83

转载 [转载]FPGA学习步骤

原文地址:FPGA学习步骤作者:激扬青春觉得有些道理,就转过来了,希望对大家有帮助!FPGA在目前应用领域非常,在目前的单板设计里面,几乎都可以看到它的身影。从简单的逻辑组合,到高端的图像、通信协议处理,从单片逻辑到复杂的ASIC原型验证,从小家电到航天器,都可以看到FPGA应用,它的优点在这里无庸赘述。从个人实用角度看,对于学生,掌握FPGA可以找到一份很好的工作,对于有...

2012-07-05 08:41:00 152

转载 Verilog 学习笔记之—FIFO

暑期在家,有充足的时间,于是希望自己能在专业技能上有所长,结合自己的爱好于是选择了Verilog。记得大三开设EDA这门课的时候,自己对EDA以及Verilog完全不感兴趣,认为他就是数字电路的重复。因为这种狭隘的眼光,现在才知道当时自己有多么愚蠢没有好好学......今天先讲一讲队列的实现,因为这个比较容易。有过C语言的基础,就会知道所谓的队列就是一块连续的存...

2012-07-04 21:07:00 158

转载 [转载]卷积运算的实际意义

原文地址:卷积运算的实际意义作者: forward[有奖讨论] 卷积运算的实际意义是什么?卷积运算是信号处理常规的一个运算过程。作为一个重要的基础,请大家讨论,也就是从概念,应用方向等去谈谈它的意义。信号处理对很多朋友来说可能比较难,作为基础,我们不能小看它的作用。欢迎参与讨论。:)-----------------------------...

2012-07-03 22:04:00 297

转载 [转载]一个硬件工程师高手的设计经验分享

原文地址:一个硬件工程师高手的设计经验分享作者:森林海一:成本节约现象一:这些拉高/拉低的电阻用多大的阻值关系不大,就选个整数5K 吧点评:市场上不存在5K 的阻值,最接近的是 4.99K(精度1%),其次是5.1K(精度5%),其成本分别比精度为20%的4.7K高4倍和2 倍。20%精度的电阻阻值只有1、1.5、2.2、3.3、4.7、6.8几个类别(含1...

2011-08-26 08:27:00 285

转载 [转载]3分钟设计滤波器

原文地址:3分钟设计滤波器作者:MegaPillarus目录1.扯个蛋...12.滤波器设计的四点考虑...13.低通滤波器...14.高通滤波器...25.宽带通滤波器...36.带阻滤波器...47.再扯个蛋...41.扯个蛋滤波器(filter),是一种过滤设备。比如低通滤波器,它过滤掉了高频成分,只保...

2011-07-23 18:27:00 135

转载 累加器A与ACC区别

累加器A与ACC区别累加器写成A或ACC在51汇编语言指令中是有区别的。ACC在汇编后的机器码必有一个字节的操作数,是累加器的字节地址E0H,A在汇编后则隐含在指令操作码中。所以在指令中A不能用ACC来替代,而对A的特殊功能寄存器直接寻址和累加器某一位的寻址要用ACC,而不能写成A。例如,指令INCA的机器码是04H,属于寄存器寻址,写成ACC后则成...

2011-06-26 23:28:00 1649

转载 [转载]注册电气工程师(供配电)执业资格考试基础考试大纲

原文地址:注册电气工程师(供配电)执业资格考试基础考试大纲作者:北冰洋的企鹅一、高等数学1.1 空间解析几何向量代数 直线 平面 柱面 旋转曲面 二次曲面 空间曲线1.2 微分学极限 连续 导数 微分 偏导数 全微分 导数与微分的应用1.3 积分学不定积分 定积分 广义积分 二重积分 三重积分 平面曲线积分积分应用1.4 无穷级数数项级数 幂级数 泰勒级数 傅里叶...

2010-12-13 22:35:00 695

转载 matlab设计切比雪夫低通滤波器

绘制Chebshev1型模拟低通滤波器的平方幅频响应曲线,阶数为2、4、6、8:n=0:0.01:2;for i=1:4switch i case 1,N=2; case 2;N=4; case3;N=6; case 4;N=8;endRp =1;%设置通滤波纹为1db[...

2010-12-13 21:47:00 2470

转载 matlab设计巴特沃斯滤波器小结

低通滤波器介绍(low-passfilter)  对于不同滤波器而言,每个频率的信号的减弱程度不同。当使用在音频应用时,它有时被称为高频剪切滤波器,或高音消除滤波器。  低通滤波器概念有许多不同的形式,其中包括电子线路(如音频设备中使用的hiss 滤波器、平滑数据的数字算法、音障(acousticbarriers)、图像模糊处理等等,这两个工具都通过剔除短期波...

2010-12-08 12:49:00 3006

转载 [转载]直接保存Matlab图像到PPT文件

原文地址:直接保存Matlab图像到PPT文件作者:ronei文件下载地址:http://www.mathworks.com/matlabcentral/fx_files/340/1/saveppt.m参考:http://www.advancedmcode.org/matlab-and-microsoft-office-powerpoint.html该函数可以直接保存...

2010-11-26 12:55:00 1007

转载 中断过程与主程序调用子程序过程的比较

1、两过程定义与作用子程序是微机基本程序结构中的1种,基本程序结构包括顺序(简单)、分支(判断)、循环、子程序和查表等5种。子程序是一组可以公用的指令序列,只要给出子程序的入口地址就能从主程序转入子程序。子程序在功能上具有相对的独立性,在执行主程序的过程中往往被多次调用,甚至被不同的程序所调用。一般微机首先执行主程序,碰到调用指令就转去执行子程序...

2010-11-14 21:20:00 1940

转载 I/0接口的概念

CPU与外部设备、存储器的连接和数据交换都需要通过接口设备来实现,前者被称为I/O接口,而后者则被称为存储器接口。存储器通常在CPU的同步控制下工作,接口电路比较简单;而I/O设备品种繁多,其相应的接口电路也各不相同,因此,习惯上说到接口只是指I/O接口。一、I/0接口的概念 1.接口的分类  I/O接口的功能是负责实现CPU通过系统总线把I/O电路和外围设...

2010-11-06 13:27:00 1446

转载 2010年10月26日

【转】You’retheLeadingActorinYourLife[ 2010-10-16 9:55:00| By: Childhood ]People always think too much and too care about otherpeople’s views, when they mak...

2010-10-26 22:49:00 76

转载 汇编的寻址方式

•操作数可以存在什么地方?操作数存在于指令代码中,处理器译码时就立即获得了这个操作数,这就是立即(数)寻址方式。汇编指令中,这个立即数(操作数)以常量形式出现•操作数存在于处理器内部寄存器中,处理器从寄存器中获得这个操作数,这就是寄存器寻址方式。汇编指令中,这个寄存器操作数以寄存器名形式出现•操作数存在于主存中,处理器从主存单元获得这个操作数,这就是存储器寻址方式。汇编指...

2010-10-18 17:07:00 335

转载 [转载]转贴:大学电子类专业学生忠告

原文地址:转贴:大学电子类专业学生忠告作者:伴女同行转贴:大学电子类专业学生忠告ANY电子:http://www.anydz.com/2009/advice-for-students-of-electronic-university.html/很多从高中刚刚进入大学电子类专业的学生都对这个专业或多或少感觉有些茫然,毕竟高中期间我们对这些东西多是闻所未闻,如果没有人及时答疑解...

2010-07-05 23:03:00 179

转载 [转载]win7命令大全

原文地址:win7命令大全作者:小鼠安装了win7,平时要用到很多运行命令,在网上找了一下,觉得很有用,跟大家分享一下,希望对大家有用。cleanmgr–打开磁盘清理工具compmgmt.msc---计算机管理conf—-启动 netmeetingcharmap–-启动字符映射表calc—-启动计算器chkdsk.exe–-Chkdsk磁盘检查cmd.exe–-CM...

2010-05-14 09:33:00 72

转载 [转载]浅谈程序员的数学修养

[转载]浅谈程序员的数学修养刚才又看到有人讨论编程和数学的关系,转一篇不错的文章给大家看看:浅谈程序员的数学修养原文出自http://www.eefocus.com/html/07-05/4139170505009667.shtml可能有很多朋友在网上看过google公司早几年的招聘广告,它的第一题如下了:{first 10-digit primefound in ...

2010-04-26 22:16:00 122

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除