自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(362)
  • 资源 (12)
  • 收藏
  • 关注

原创 STC8A8K64D4 DMA功能使用

主要是处理中断问题,默认keil的编译器,不支持超过32的中断号,所以,DMA的中断需要使用特殊方法来实现,一个是打补丁,一个是自建汇编文件处理中断,这里还有多种方法,我选用占用未使用中断号的地址空间来处理DMA中断,比如,0~31号中断中,第13号是保留中断号(或者未使用的中断,如INT0),我们可以借用此中断号,新建一个汇编语言文件,比如。,官方提供的ism文件,最后面那句。,加入到项目,并在地址。

2023-10-26 14:29:40 817

原创 NXP(Freescale) QorIQ T4240 CodeWarrior安装

ubuntu18.04,不是官方支持的版本,缺少32位的软件包,,否则,在Flash Programmer页面,无法添加操作。2023年7月31号安装完后,license报错,修改CW的快捷方式,在末尾添加。

2023-08-09 17:29:35 757

原创 Xilinx xdma Windows平台使用

在打开2018.2源代码时,由于2018.2新加入了一个wix的Driver Setup打包工程,这和VS2022不兼容,定位是没有安装wixtool,进入WIX TOOLSET官网,的形式安装,然后安装WIX TOOLSET工具,后发现压缩包里其实没有Driver Setup打包工程,算了,直接编译用了,这里必须选一个特定版本的,不能选择。下载WIX TOOLSET和WIX TOOLSET VS2022扩展,安装WIX TOOLSET时报错,缺少。,使用VS安装工具,在单个组件里,安装。

2023-04-03 18:03:52 1986 1

原创 windows驱动开发-vs2019-vs2022 WDK开发环境搭建

好久不开发Windows驱动,现在又变天了,微软的工具更新的非常快,Visual Studio和WDK都发了新版本,推荐安装新版本,但如果非想安装老版本,也可以。然后安装WDK,只能下载独立安装,无法通过Visual Studio 2022来安装,版本10.0.22621.382,SDK和WDK版本必须匹配,否则无法工作。下一步安装SDK,按照提示下载最新版本的SDK独立安装,也可以从Visual Studio 2022上,选中安装,版本是10.0.22621.0,参考之前的博客,设置localtime。

2023-03-20 00:36:23 4698

原创 Xilinx Zynq ZynqMP DNA

Zynq的DNA是57位,ZynqUltraScale的DNA是96位,通过Vivado可以查看,在。

2022-07-24 00:27:34 1253

原创 STC8G单片机功能和应用电路

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118功能STC8G1K17A有16位硬件除法器MDU16,ADC 10位精度,500K采样率,SOP8的管脚分布,3x3mm,

2022-03-01 10:53:09 4368 12

原创 STM32 SPI使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考STM32实现ADS1256进行数据电压采集程序AD1256之STM32程序——STM32测试高精度ADC篇(四)STM32_HAL_SPI_ADS1256调试记录SPI时序参数SPI需要配置CPHA和CPOL,以下图为例,CPHA=1,CPOL=0,...

2022-02-17 23:58:09 734

原创 Altium Designer安装和使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考Altium Designer初学教程(一)AD21安装安装语言English安装路径D:\Altium\AD21,D:\Altium\Documents\AD21不参加用户体验计划复制shfolder.dll到安装路径D:\Altium\AD21第一次启动Altium Designer,默认会打开License M

2022-01-30 21:31:38 1832

原创 网络时间同步NTP/PTP/White Rabbit

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考IEEE1588精确网络时钟同步协议简介 IIIEEE1588精密网络同步协议(PTP)-v2.0协议浅析Precise Time Protocol (PTP)PTP简介IEEE 1588精确时钟同步协议的研究ptpd 1588协议关于多个定时器的实现方式解析一种IEEE 1588硬件的设计和实现stm32实现1588

2022-01-24 15:35:38 1601

原创 STM32 USB开发

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考STM32 使用Cubemx 建一个USB(HID)设备下位机,实现数据收发

2022-01-23 19:01:41 5698

原创 modbus主站从站实现

由MBAP 头和PDU 构成, MBAP=Modbus Application Protocol Header(Modbus应用协议) 头部,PDU = Protocol Data Unit(数据单元)异常码说明ExceptionCode是Function Code的最左边Bit设定为1,举例:86 01,功能码06最左边Bit设定为1,即为86,01表示非法功能。主站一般使用嵌入式linux,一般是网关上使用,比如将modbus数据发送到4G模块,嵌入式Linux上有开源的libmodbus库。

2022-01-20 15:23:05 6635

原创 Gstreamer开发

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考Gstreamer Plugin/Application 主要函数学习如何编译Build一个GStreamer的Plugingstreamer插件指南

2021-12-21 14:46:03 694

原创 Visual Studio安装和使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考解决方案:VS2017 无法打开源文件 stdio.h main.h 等头文件问题无法打开errno.h下载的例程是VS2019的,使用VS2017打开,编译出错,打开项目属性,Windows SDK版本和平台工具集都要重新设置,...

2021-12-12 23:47:28 318

原创 GTK开发

参考GTK官网使用GTK+获取屏幕分辨率并设置满屏显示效果GTK环境搭建( Linux )GTK+入门教程GTK+:GTK+的简介、安装、使用方法之详细攻略安装官方文档Setting up GTK for Windows

2021-11-30 15:42:39 1291 1

原创 Proxmox学习和使用

参考Proxmox VE Qemu/KVM 虚拟机设置要求如何修改proxmox ve中虚拟机的分辨率基于PVE搭建云桌面体验适合中小企业的桌面云平台 — DoraCloud 快速部署指导proxmox VE安装Windows虚拟机(包括virtIO驱动)及SPICE远程桌面配置Proxmox配置虚拟桌面Configure remote console accessnoVNC实现浏览器远程访问Windows桌面NoVNC—以Web方式交付VNC远程连接Proxmox VE三种控制台对比

2021-11-18 12:39:37 6559 1

原创 Xilinx 7系列FPGA固件配置

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118电压VCCBATT,AES key存储在FPGA’s battery-backed RAM中的时候需要接,VCCO_0是JTAG配置管脚所在的专用Bank,VCCO_14和VCCO_15是多功能引脚所在Bank,CFGVBS,接地或者VCCO_0,配置方式,QSPI,选001,...

2021-11-09 21:52:52 713

原创 Xilinx zc706开发板使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118Boot模式通过SW11来控制,ZC706有三种方式访问JTAG,通过SW4来控制,JTAGSW4.1SW4.214-pin header J310USB-to-JTAG Digilent bridge U3001JTAG 20-pin header at J6211...

2021-10-27 14:33:01 1598

原创 nfs安装和使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考Ubuntu下的NFS服务配置总结Ubuntu 下搭建 NFS 服务ubuntu配置NFS

2021-10-27 10:08:05 347

原创 Ubuntu显示设置

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考linux系统配置x11,配置Xorg X11窗口系统恢复ubuntu20.04默认桌面管理器Ubuntu18.04多显卡配置显示输出,指定某个独显输出图形界面,解决黑屏无法进入图形界面多显卡切换一块GT710,一块T2080,安装系统时显示器接的T2080,后面接到GT710上也是黑屏,无法显示,# 查看到gdm se

2021-09-29 17:44:04 1250

原创 Xilinx TPG IP使用

参考配置tpg配置,frmbuf_wr配置,在zynqmp上输入,# media-ctl -d /dev/media0 -pMedia controller API version 4.19.0Media device information------------------------driver xilinx-videomodel Xilinx Video Composite Deviceserial bus inf

2021-09-14 16:31:19 2263

原创 Linux C语言执行Shell命令

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考Linux系统下C语言和shell命令之间的交互Linux下C语言 system函数返回值linux C编程–popen函数详解linux下C和shell调用的popen函数方法systemint system(constchar*command);判断ystem函数是否正常结束,3个条件同时成立,-1 !=

2021-08-23 21:01:43 1261

原创 LoRa学习和使用

参考LoRa天线电路设计四大要点SX1278芯片LoRa通信官方驱动源码详细讲解LoRa芯片SX1278官方驱动移植SX1268驱动程序设计LORAWAN在嵌入式系统中的实现–节点端(三)–LORAWAN 终端移植调试(1)几个学习LoRa的重要网站从0到1搭建LoRa物联网LoRaWAN协议(一)–架构解析LoRa节点开发:4、代码详解 LoRaWAN节点入网LoRa终端节点开发之旅④ - - LoRa驱动_PingPong代码分析Windows下使用VS Code编译和构建LoR

2021-08-21 18:19:21 5585

原创 ZFS学习和使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考github openzfs初学者指南:ZFS 是什么,为什么要使用 ZFS? | Linux 中国wiki openzfs安装META文件记录的支持的内核版本号,3.10~5.9,Meta: 1Name: zfsBranch: 1.0Version:

2021-08-18 09:33:25 2932

原创 串口控制USB多主切换Switch

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118串口控制USB多主切换Switch如下图所示,通过对micro usb control port , 发送命令可以实现 usb port A 到 usb port 1-4 的链接,或者usb port B 到usb port 1-4 的链接。这样我们就实现了 usb port A 和 usb port B到 usb port 1

2021-08-16 16:42:28 1721 3

原创 STC单片机在线ISP IAP OTA

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考STC15单片机功能和应用电路STC8A单片机功能和应用电路STC51单片机实现IAP远程升级过程分享ISP在线ISP,主要依靠IAP_CONTR寄存器,使用IAP_CONTR=0x60触发单片机进入ISP模式,而不需要冷启动,减少调试时的麻烦,可配合自定义串口命令,可使用官方提供的ISP软件,或者利用官方提供的Upgra

2021-08-14 22:24:12 2730 2

原创 修改EXE文件

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118方法这些工具都是针对老的开发工具开发出来的程序,现在感觉已经跟不上时代了,很多程序都没法正确识别出资源。WinHex修改二进制pexploreeXeScopeResoure Hacker...

2021-07-24 18:13:16 2498 2

原创 Vivado使用Tcl命令下载FPGA

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118使用# vivado -mode tcl****** Vivado v2020.1 (64-bit) **** SW Build 2902540 on Wed May 27 19:54:35 MDT 2020 **** IP Build 2902112 on Wed May 27 22:43:36 MDT 2020 *

2021-07-22 00:17:14 1308

原创 Windows10 WSL使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118安装搜索打开启用或关闭Windows功能,

2021-07-19 09:08:43 540

原创 C语言实现时间相关函数

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考C 库函数 - mktime()C语言实现将时间戳转换为年月日时分秒和将年月日时分秒转换为时间戳用C语言将微秒转换成年月日十分秒,求代码?struct tm 和 time_t 时间和日期的使用方法实现最近在移植FatFs的get_fattime函数时,发现单片机没有相关时间函数,可以使用标准库的时间函数,但不知道能否编译

2021-07-02 11:34:40 323

原创 Python CAN使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118报错CAN bit stuff error来自,# python-can-3.3.4\can\interfaces\ixxat\canlib.py line227CAN_ERROR_MESSAGES = { constants.CAN_ERROR_STUFF: "CAN bit stuff error", const

2021-05-06 23:54:15 2292

原创 51单片机原理

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考51单片机的存储空间梳理国产16位单片机发布,速度比传统8位51快70倍今年要新出的16位51单片机-STC16FSTC官网16位需要16位,是因为需要更大容量的Flash,程序超过了64K,引用B站评论:STC16F系列是80251,降大概3倍速当8051用,不换编译器也是16位处理器工作在8位模式,只有换编译器性能才

2021-05-06 00:06:33 329

原创 RS485通信

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考终于讲透了,史上最详细的RS485串口通讯!RS-485RS-485的电气特性:逻辑“1”以两线间的电压差为+(2~6)V表示;逻辑“0”以两线间的电压差为-(2~6)V表示。接口信号电平比RS-232-C降低了,就不易损坏接口电路的芯片,且该电平与TTL电平兼容,可方便与TTL电路连接。RS-485的数据最高传输速率为10M

2021-05-02 23:52:57 4649

原创 ISCSI使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考Linux搭建ISCSI存储服务器

2021-04-26 16:42:33 535

原创 黑金AX7350注意事项

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118eth用户手册里写错了,phy地址是1,不是3,坑货!

2021-04-13 01:50:55 788

原创 单片机资源汇整

单片机开源项目开源逆变器wolfBoot 开源的安全启动bootloaderOpenBLT 开源的bootloaderRTOSLua-RTOS-ESP32是一款支持Lua编程的RTOS,目前支持ESP32,ESP8266和PIC32MZ,还带有图形化的上位机编程界面

2021-04-09 14:02:43 1812 2

原创 银河麒麟操作系统使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考软件源使用方法源在系统的/etc/apt/sources.list文件中,根据不同版本填入以下内容,可以看到以下操作系统均是基于ubuntu定制的,#4.0.2桌面版本:deb http://archive.kylinos.cn/kylin/KYLIN-ALL 4.0.2-desktop main restricted u

2021-04-09 13:58:14 2517

原创 Qt多个平台安装与维护

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118Ubuntu$ sudo apt install build-essential cmake qt5-default qtcreatorcentos$ sudo yum install qt5-qtbase qt5-qtbase-devel qt5-qtquickcontrols2-devel qtcreator.......

2021-04-09 10:47:39 756

原创 FPGA 乘法器 浮点运算

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考在Verilog中直接调用*实现乘法器,其延迟和占用资源如何?浅谈乘法器的用法FPGA乘法器方案请教FPGA 中的有符号数乘法乘法器两种实现方式,DSP Slice或组合逻辑,前者速度高,不占用逻辑资源,后者一般用于小位宽运算,延迟小。仿真验证,待续。。。...

2021-04-03 03:15:20 1359

原创 Linux RNDIS驱动

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118参考Linux 下使用USB 网络Linux下启用RNDIS host端与device端host端内核代码配置, │ Symbol: USB_NET_RNDIS_HOST [=n] │

2021-03-22 22:23:49 4156 2

原创 Xilinx FPGA clk_wiz IP使用

作者QQ群:852283276微信:arm80x86微信公众号:青儿创客基地B站:主页 https://space.bilibili.com/208826118使用注意一点,其中Drives选项,BUFG我开始忘记选择了,总是编译报错,

2021-03-17 22:36:45 1528

si5324_fsbl_patch_files.zip

ZC706 Si5324配置代码,配合我的博客https://blog.csdn.net/Zhu_Zhu_2009/article/details/98075135使用

2020-11-20

AHCI SATA相关协议和论文

AHCI SATA相关协议论文,这是目前网上最全的,不用再去其他地方找了,这里就是最全的。高级主机控制器接口(英文:Advanced Host Controller Interface,缩写:AHCI),是一种由英特尔制定的技术标准,它允许软件与SATA存储设备沟通的硬件机制,可让SATA存储设备激活高级SATA功能,例如原生指令队列及热插拔。

2020-05-07

samba-4.10.6.tar.gz

Samba是在Linux和UNIX系统上实现SMB协议的一个免费软件,由服务器及客户端程序构成。SMB(Server Messages Block,信息服务块)是一种在局域网上共享文件和打印机的一种通信协议,它为局域网内的不同计算机之间提供文件及打印机等资源的共享服务。SMB协议是客户机/服务器型协议,客户机通过该协议可以访问服务器上的共享文件系统、打印机及其他资源。通过设置“NetBIOS over TCP/IP”使得Samba不但能与局域网络主机分享资源,还能与全世界的电脑分享资源。

2019-08-18

银河麒麟桌面操作系统开发接口说明.pdf

应用编程接口函数说明 字符操作接口 字符串操作接口 内存控制接口

2019-07-03

银河麒麟操作系统软件包管理器使用手册-V1.0.pdf

dpkg 命令是 Debian 的一个命令行工具,可以用来安装,删除,编译和管理 软件包。它也是 dpkg-deb 和 dpkg-query 这些命令的前缀,大部分时候,dpkg 和 这些命令等价,但针对某些特殊的参数选项,我们只能选用带有后缀的,不能使 用 dpkg。

2019-07-03

银河麒麟操作系统程序员开发指南-V1.2.pdf

银河麒麟操作系统程序员开发指南 开发框架:介绍银河麒麟操作系统的主要架构; 开发环境和工具:简单介绍程序的开发、编译和调试,以及 3 款开发环 境的搭建; 打包发布管理:介绍 deb 包的打包、发布及使用流程。 参考目录:文中相关工具可参考查阅的资料;

2019-07-03

STM32 ST-LINK Utility v4.3.0

STM32 ST-LINK Utility工具,当板子上没有JTAG接口时,我们可以使用SWD接线方式,以前使用盗版的ST-link时,只需要四根线(SWIO,SWCLK,3V3,GND)就可以轻松实现目标板连接,简单小巧,可谓是嵌入式攻城狮的最爱

2018-12-15

zlog使用手册

zlog使用手册(难易 著)。zlog是一个高可靠性、高性能、线程安全、灵活、概念清晰的纯C日志函数库。事实上,在C的世界里面没有特别好的日志函数库(就像JAVA里面的的log4j,或者C++的log4cxx)。C程序员都喜欢用自己的轮子。printf就是个挺好的轮子,但没办法通过配置改变日志的格式或者输出文件。syslog是个系统级别的轮子,不过速度慢,而且功能比较单调。所以我写了zlog。zlog在效率、功能、安全性上大大超过了log4c,并且是用c写成的,具有比较好的通用性。

2018-09-07

Linux CAN编程详解配套代码

主要描述了以下内容: 1. can总线介绍及其帧类型; 2. Linux 系统中CAN 总线配置; 3. Linux 系统中CAN 总线应用开发接口; 4. Linux 系统中CAN编程实例 对于Linux系统CAN编程实例,博主reille编写了一个更加实用化、功能更全面的can应用程序cantool

2018-09-04

赛元微电子sc92f7003单片机手册

20PIN,高速1T 8051内核Flash MCU ,512 bytes SRAM ,8 Kbytes Flash,128 bytes独立EEPROM,8路12位ADC,7路10位PWM,3个定时器,UART ,SSI ,Check Sum校验模块,STOP Mode电流<1uA​

2018-08-21

verilog 写的m序列

基于verilog语言描写的m序列产生器,采用模二加的原理,当然也可用查找表

2011-09-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除